Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS
暂无分享,去创建一个
Jürgen Teich | Andreas Weichslgartner | Jürgen Becker | Andreas Herkersdorf | Thomas Wild | Jan Heisswolf | Aurang Zaib | Ralf König
[1] Gerhard Fettweis,et al. Guaranteed service virtual channel allocation in NoCs for run-time task scheduling , 2011, 2011 Design, Automation & Test in Europe.
[2] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[3] Coniferous softwood. GENERAL TERMS , 2003 .
[4] Ivo Bolsens,et al. Proceedings of the conference on Design, Automation & Test in Europe , 2000 .
[5] Radu Marculescu,et al. User-Aware Dynamic Task Allocation in Networks-on-Chip , 2008, 2008 Design, Automation and Test in Europe.
[6] Théodore Marescaux,et al. Centralized run-time resource management in a network-on-chip containing reconfigurable hardware tiles , 2005, Design, Automation and Test in Europe.
[7] Wolfgang Schröder-Preikschat,et al. DistRM: Distributed resource management for on-chip many-core systems , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[8] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[9] Amit Kumar Singh,et al. Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms , 2010, J. Syst. Archit..
[10] George Kornaros,et al. Dynamic resource management in modern multicore SoCs by exposing NoC services , 2011, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC).
[11] Diederik Verkest,et al. Operating-system controlled network on chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[12] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[13] Fernando Gehm Moraes,et al. Achieving composability in NoC-based MPSoCs through QoS management at software level , 2011, 2011 Design, Automation & Test in Europe.
[14] Peter H. N. de With,et al. Realization of QoS management using negotiation algorithms for multiprocessor NoC , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[15] A. Roadmapof. A Roadmap of Agent Research and Development , 1995 .
[16] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[17] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[18] Shekhar Borkar. Thousand Core ChipsA Technology Perspective , 2007, DAC 2007.
[19] Francesco Bruschi,et al. On-chip network resource management design and validation , 2011, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.
[20] Li-Shiuan Peh,et al. CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs , 2011, J. Parallel Distributed Comput..