SSMCB: Low-Power Variation-Tolerant Source-Synchronous Multicycle Bus

In this paper, a variation-tolerant low-power source-synchronous multicycle bus (SSMCB) interconnect scheme is proposed. This scheme is scalable and suitable for transferring data across different clock domains such as those in "many-core" SoCs and in 3-D ICs. SSMCB replaces intermediate flip-flops by a source-synchronous synchronization scheme. Removing the intermediate flip-flops in the SSMCB scheme enables better averaging of delay variations across the whole interconnect, which reduces bit-rate degradation due to within-die process variations. Monte Carlo circuit simulations show that SSMCB eliminates 90% of the variation-induced performance degradation in a six-cycle 9-mm-long 16-bit conventional bus. The proposed multicycle bus scheme also leads to significant energy savings due to the elimination of power-hungry flip-flops and the efficient design of the source synchronization overhead. Moreover, eliminating the intermediate flip-flops avoids the timing overhead of the setup time, the flip-flop delay, and the single-cycle clock jitter. This delay slack can then be translated into further energy savings by downsizing the repeaters. The significant delay jitter due to capacitive coupling has been addressed, and solutions are put forward to alleviate it. Circuit simulations in a 65-nm process environment indicate that energy savings up to 20% are achievable for a six-cycle 9-mm-long 16-bit bus.

[1]  William J. Dally,et al.  Digital systems engineering , 1998 .

[2]  Anantha Chandrakasan,et al.  Three-dimensional integrated circuits: performance, design methodology, and CAD tools , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[3]  S. Muddu,et al.  Interconnect tuning strategies for high-performance ICs , 1998, Proceedings Design, Automation and Test in Europe.

[4]  Rodham E. Tulloss,et al.  The Test Access Port and Boundary Scan Architecture , 1990 .

[5]  Pradeep Dubey,et al.  Platform 2015: Intel ® Processor and Platform Evolution for the Next Decade , 2005 .

[6]  Payman Zarkesh-Ha,et al.  Interconnect opportunities for gigascale integration , 2002, IBM J. Res. Dev..

[7]  Massoud Pedram,et al.  A new design of double edge triggered flip-flops , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

[8]  J.D. Meindl,et al.  Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.

[9]  Wentai Liu,et al.  A hybrid current/voltage mode on-chip signaling scheme with adaptive bandwidth capability , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Hiroto Yasuura,et al.  A bus delay reduction technique considering crosstalk , 2000, DATE '00.

[11]  Chih-Kong Ken Yang,et al.  Jitter optimization based on phase-locked loop design parameters , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[12]  Louis Scheffer Methodologies and tools for pipelined on-chip interconnect , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[13]  Cheng-Kok Koh,et al.  Flip-flop and repeater insertion for early interconnect planning , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[14]  Alberto L. Sangiovanni-Vincentelli,et al.  Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  C. L. Portmann,et al.  Metastability in CMOS library elements in reduced supply and technology scaled applications , 1995 .

[16]  Takayasu Sakurai,et al.  Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .

[17]  Yehea I. Ismail,et al.  Skewing adjacent line repeaters to reduce the delay and energy dissipation of on-chip buses , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[18]  Atsushi Kurokawa,et al.  Challenge: variability characterization and modeling for 65- to 90-nm processes , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[19]  Yehea I. Ismail,et al.  Serial-link bus: a low-power on-chip bus architecture , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[20]  Yehea I. Ismail,et al.  Reducing the data switching activity on serial link buses , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[21]  John A. McNeill Jitter in ring oscillators , 1997 .

[22]  Yehea I. Ismail,et al.  Optimum positioning of interleaved repeaters in bidirectional buses , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Soo-Young Oh,et al.  A scaling scheme for interconnect in deep-submicron processes , 1995, Proceedings of International Electron Devices Meeting.

[24]  T. Sakurai,et al.  Two schemes to reduce interconnect delay in bi-directional and uni-directional buses , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[25]  Yehea I. Ismail,et al.  A skewed repeater bus architecture for on-chip energy reduction in microprocessors , 2005, 2005 International Conference on Computer Design.

[26]  Christer Svensson,et al.  Timing closure through a globally synchronous, timing partitioned design methodology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[27]  Bryan Black,et al.  3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..