Time-domain analysis methodology for large-scale RLC circuits and its applications

With soaring work frequency and decreasing feature sizes, VLSI circuits with RLC parasitic components are more like analog circuits and should be carefully analyzed in physical design. However, the number of extracted RLC components is typically too large to be analyzed efficiently by using present analog circuit simulators like SPICE. In order to speedup the simulations without error penalty, this paper proposes a novel methodology to compress the time-descritized circuits resulted from numerical integration approximation at every time step. The main contribution of the methodology is the efficient structure-level compression of DC circuits containing many current sources, which is an important complement to present circuit analysis theory. The methodology consists of the following parts: 1) An approach is proposed to delete all intermediate nodes of RL branches. 2) An efficient approach is proposed to compress and back-solve parallel and serial branches so that it is error-free and of linear complexity to analyze circuits of tree topology. 3) The Y to π transformation method is used to error-free reduce and back-solve the intermediate nodes of ladder circuits with the linear complexity. Thus, the whole simulation method is very accurate and of linear complexity to analyze circuits of chain topology. Based on the methodology, we propose several novel algorithms for efficiently solving RLC-model transient power/ground (P/G) networks. Among them, EQU-ADI algorithm of linear-complexity is proposed to solve RLC P/G networks with mesh-tree or mesh-chain topologies. Experimental results show that the proposed method is at least two orders of magnitude faster than SPICE while it can scale linearly in both time-and memory-complexity to solve very large P/G networks.

[1]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1997, ICCAD 1997.

[2]  Raminderpal Singh Simulation and Optimization of the Power Distribution Network in VLSI Circuits , 2002 .

[3]  Charlie Chung-Ping Chen,et al.  Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative methods , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[4]  Rajendran Panda,et al.  Hierarchical analysis of power distribution networks , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Yici Cai,et al.  Transient analysis of on-chip power distribution networks using equivalent circuit modeling , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[6]  Rajendran Panda,et al.  Design and analysis of power distribution networks in PowerPC microprocessors , 1998, DAC.

[7]  Yu-Min Lee,et al.  Power grid transient simulation in linear time based on transmission-line-modeling alternating-direction-implicit method , 2001, ICCAD 2001.

[8]  Chung-Kuan Cheng,et al.  Hurwitz stable reduced order modeling for RLC interconnect trees , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[9]  Chung-Kuan Cheng,et al.  RCLK-VJ network reduction with Hurwitz polynomial approximation , 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

[10]  Sachin S. Sapatnekar,et al.  Fast analysis and optimization of power/ground networks , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[11]  Chung-Kuan Cheng,et al.  Power network analysis using an adaptive algebraic multigrid approach , 2003, DAC '03.

[12]  Yici Cai,et al.  Modeling and Analysis of Mesh Tree Hybrid Power/Ground Networks with Multiple Voltage Supply in Time Domain , 2005, Journal of Computer Science and Technology.

[13]  Sani R. Nassif,et al.  Power grid reduction based on algebraic multigrid principles , 2003, DAC '03.

[14]  Sheldon X. -D. Tan A General S-Domain Hierarchical Network Reduction Algorithm , 2003, ICCAD 2003.

[15]  Sani R. Nassif,et al.  Random walks in a supply network , 2003, DAC '03.

[16]  Yici Cai,et al.  EQUADI: a linear complexity algorithm for transient analysis for power/ground (P/G) networks in ASICs , 2004, Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, 2004..

[17]  Charlie Chung-Ping Chen,et al.  Power grid transient simulation in linear time based on transmission-line-modeling alternating-direction-implicit method , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[18]  Yici Cai,et al.  EQUADI : A Linear Complexity Algorithm on Transient Power / Ground ( P / G ) Network Analysis for ASICs , 2005 .

[19]  Sani R. Nassif,et al.  Fast power grid simulation , 2000, Proceedings 37th Design Automation Conference.

[20]  Janet Roveda,et al.  Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources , 2000, Proceedings 37th Design Automation Conference.

[21]  Xuan Zeng,et al.  Behavioral modeling of analog circuits by wavelet collocation method , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).