Heat management in integrated circuits: On-chip and system-level monitoring and cooling
暂无分享,去创建一个
[1] Seda Ogrenci Memik,et al. Optimizing Thermal Sensor Allocation for Microprocessors , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Seda Ogrenci Memik,et al. A Sensor Distribution Algorithm for FPGAs with Minimal Dynamic Reconfiguration Overhead , 2006, ERSA.
[3] S. Memik,et al. Early planning for clock skew scheduling during register binding , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[4] Román Hermida,et al. Exploring the energy efficiency of Multispeculative Adders , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).
[5] Seda Ogrenci Memik,et al. Optimization of the bias current network for accurate on-chip thermal monitoring , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[6] Valentin Simeonov,et al. École polytechnique fédérale de Lausanne (EPFL) , 2018, The Grants Register 2019.
[7] Yehea I. Ismail,et al. SACTA: A Self-Adjusting Clock Tree Architecture for Adapting to Thermal-Induced Delay Variation , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] Lawrence J. Henschen,et al. On-chip integration of thermoelectric energy harvesting in 3D ICs , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).
[9] Seda Ogrenci Memik,et al. A Fast Heuristic Algorithm for Multidomain Clock Skew Scheduling , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Seda Ogrenci Memik,et al. A revisit to the primal-dual based clock skew scheduling algorithm , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[11] S.O. Memik,et al. Managing Reconfigurable Resources in Heterogeneous Cores Using Portable Pre-Synthesized Templates , 2007, 2007 International Symposium on System-on-Chip.
[12] Yu Zhang,et al. A power and temperature aware DRAM architecture , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[13] Seda Ogrenci Memik,et al. Exploring super-resolution implementations across multiple platforms , 2013, EURASIP J. Adv. Signal Process..
[14] S. M. Faisal,et al. Lazy Pipelines: Enhancing quality in approximate computing , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[15] Ray Sarch,et al. From the Associate Editor , 1995, Int. J. Netw. Manag..
[16] Majid Sarrafzadeh,et al. A super-scheduler for embedded reconfigurable systems , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[17] Taewhan Kim,et al. Thermal sensor allocation and placement for reconfigurable systems , 2009, TODE.
[18] Seda Ogrenci Memik,et al. Power management for FPGAs: power-driven design partitioning , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[19] Majid Sarrafzadeh,et al. SPS: A strategically programmable system , 2017 .
[20] Román Hermida,et al. A Partial Carry-Save On-the-Fly Correction Multispeculative Multiplier , 2016, IEEE Transactions on Computers.
[21] Seda Ogrenci Memik,et al. Temperature-aware resource allocation and binding in high-level synthesis , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[22] Seda Ogrenci Memik,et al. Systematic temperature sensor allocation and placement for microprocessors , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[23] Seda Ogrenci Memik,et al. Peak temperature control and leakage reduction during binding in high level synthesis , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[24] Seda Ogrenci Memik,et al. A novel SoC design methodology combining adaptive software and reconfigurable hardware , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[25] Song Liu,et al. Hardware/software techniques for DRAM thermal management , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[26] Dawei Li,et al. Integrating thermocouple sensors into 3D ICs , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).
[27] Seda Ogrenci Memik,et al. An ILP Formulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfigurable Architectures , 2008, 2008 International Conference on Reconfigurable Computing and FPGAs.
[28] Seda Ogrenci Memik,et al. Design and analysis of a layer seven network processor accelerator using reconfigurable logic , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[29] Majid Sarrafzadeh,et al. Quick reconfiguration in clustered micro-sequencer , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.
[30] Seda Ogrenci Memik,et al. Evaluation of dual VDD fabrics for low power FPGAs , 2005, ASP-DAC.
[31] Yehea I. Ismail,et al. A self-adjusting clock tree architecture to cope with temperature variations , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[32] Hai Zhou,et al. EBOARST: An Efficient Edge-Based Obstacle-Avoiding Rectilinear Steiner Tree Construction Algorithm , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[33] Dawei Li,et al. A methodology for power characterization of associative memories , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).
[34] Majid Sarrafzadeh,et al. Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs , 2004, J. Circuits Syst. Comput..
[35] Román Hermida,et al. Power optimization in heterogenous datapaths , 2011, 2011 Design, Automation & Test in Europe.
[36] Seda Ogrenci Memik,et al. Fine-grain thermal profiling and sensor insertion for FPGAs , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[37] Yu Zhang,et al. An Approach for Adaptive DRAM Temperature and Power Management , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[38] Alok N. Choudhary,et al. Real-time feature extraction for high speed networks , 2005, International Conference on Field Programmable Logic and Applications, 2005..
[39] Min Ni,et al. Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[40] Majid Sarrafzadeh,et al. RPack: routability-driven packing for cluster-based FPGAs , 2001, ASP-DAC '01.
[41] Seda Ogrenci Memik,et al. An Integrated Approach to Thermal Management in High-Level Synthesis , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[42] Majid Sarrafzadeh,et al. Achieving Design Closure Through Delay Relaxation Parameter , 2003, ICCAD 2003.
[43] Majid Sarrafzadeh,et al. Integrating scheduling and physical design into a coherent compilation cycle for reconfigurable computing architectures , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[44] Majid Sarrafzadeh,et al. A scheduling algorithm for optimization and early planning in high-level synthesis , 2005, TODE.
[45] Seda Ogrenci Memik,et al. A framework for optimizing thermoelectric active cooling systems , 2010, Design Automation Conference.
[46] Seda Ogrenci Memik,et al. Hierarchical LUT structures for leakage power reduction , 2005 .
[47] Jieyi Long,et al. Thermal Sensing With Lithographically Patterned Bimetallic Thin-Film Thermocouples , 2011, IEEE Electron Device Letters.
[48] F. Redaelli,et al. Scheduling and 2D placement heuristics for partially reconfigurable systems , 2009, 2009 International Conference on Field-Programmable Technology.
[49] Aggelos K. Katsaggelos,et al. FPGA implementation and analysis of image restoration , 2000, FPGA '00.
[50] Yehea I. Ismail,et al. A Comprehensive Tapered buffer optimization algorithm for unified design metrics , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[51] Seda Ogrenci Memik,et al. Pre-synthesis Queue Size Estimation of Streaming Data Flow Graphs , 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[52] Majid Sarrafzadeh,et al. Instruction generation for hybrid reconfigurable systems , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[53] Roozbeh Jafari,et al. Global resource sharing for synthesis of control data flow graphs on FPGAs , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[54] S. M. Faisal,et al. Edge importance identification for energy efficient graph processing , 2015, 2015 IEEE International Conference on Big Data (Big Data).
[55] Majid Sarrafzadeh,et al. Optimization for Reconfigurable Systems Using Hierarchical Abstraction , 2003 .
[56] Majid Sarrafzadeh,et al. Pattern selection in programmable systems , 2002 .
[57] Seda Ogrenci Memik,et al. IOTA: Towards an integrated on-chip thermocouple array , 2010, 2010 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC).
[58] Seda Ogrenci Memik,et al. Presynthesis Area Estimation of Reconfigurable Streaming Accelerators , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[59] S. M. Faisal,et al. b-HiVE: A bit-level history-based error model with value correlation for voltage-scaled integer and floating point units , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[60] Dawei Li,et al. Theory and Analysis for Optimization of On-Chip Thermoelectric Cooling Systems , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[61] Román Hermida,et al. Using Speculative Functional Units in high level synthesis , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[62] Majid Sarrafzadeh,et al. Fast floorplanning for effective prediction and construction , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[63] Seda Ogrenci Memik,et al. A low power FPGA routing architecture , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[64] Román Hermida,et al. A fragmentation aware High-Level Synthesis flow for low power heterogenous datapaths , 2013, Integr..
[65] Chih-Hung Wu,et al. An Interior Point Optimization Solver for Real Time Inter-frame Collision Detection: Exploring Resource-Accuracy-Platform Tradeoffs , 2010, 2010 International Conference on Field Programmable Logic and Applications.
[66] Giovanni Agosta,et al. Adaptive Metrics for System-Level Functional Partitioning , 2006, FDL.
[67] Seda Ogrenci Memik,et al. Early Quality Assessment for Low Power Behavioral Synthesis , 2005, J. Low Power Electron..
[68] Song Liu,et al. A high-level clustering algorithm targeting dual Vdd FPGAs , 2008, TODE.
[69] Seda Ogrenci Memik,et al. Towards an “early neural circuit simulator”: A FPGA implementation of processing in the rat whisker system , 2008, 2008 International Conference on Field Programmable Logic and Applications.
[70] Hai Zhou,et al. An O(nlogn) edge-based algorithm for obstacle-avoiding rectilinear steiner tree construction , 2008, ISPD '08.
[71] Román Hermida,et al. Improving circuit performance with multispeculative additive trees in high-level synthesis , 2014, Microelectron. J..
[72] Seda Ogrenci Memik,et al. Fine-grain leakage optimization in SRAM based FPGAs , 2005, ACM Great Lakes Symposium on VLSI.
[73] Seda Ogrenci Memik,et al. Thermal-aware design and analysis techniques for integrated circuits and high-performance microprocessor systems , 2006 .
[74] Oguz Ergin,et al. User-specific skin temperature-aware DVFS for smartphones , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[75] Seda Ogrenci Memik,et al. Automated design of self-adjusting pipelines , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[76] Seda Ogrenci Memik,et al. Inversed Temperature Dependence aware clock skew scheduling for sequential circuits , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[77] Self Heating-Aware Optimal Wire Sizing under Elmore Delay Model , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[78] Ankur Srivastava,et al. On effective slack management in postscheduling phase , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[79] Seda Ogrenci Memik,et al. Minimizing Thermal Variation Across System Components , 2015, 2015 IEEE International Parallel and Distributed Processing Symposium.
[80] Seda Ogrenci Memik,et al. Combining hardware reconfiguration and adaptive computation for a novel SoC design methodology , 2006, 2006 IEEE International Conference on Field Programmable Technology.
[81] Seda Ogrenci Memik,et al. Placement and Floorplanning in Dynamically Reconfigurable FPGAs , 2010, TRETS.
[82] R. Mukherjee,et al. Physical Aware Frequency Selection for Dynamic Thermal Management in Multi-Core Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[83] Pattern Selection : Customized Block Allocation for Domain-Specific Programmable Systems , 2002 .
[84] Majid Sarrafzadeh,et al. A C to hardware/software compiler , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[85] Román Hermida,et al. Multispeculative additive trees in High-Level Synthesis , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[86] Román Hermida,et al. Multispeculative Addition Applied to Datapath Synthesis , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[88] Seda Ogrenci Memik,et al. Accelerated SAT-based scheduling of control/data flow graphs , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[89] S.O. Memik,et al. Resource sharing in pipelined CDFG synthesis , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[90] Seda Ogrenci Memik,et al. Leakage power-aware clock skew scheduling: Converting stolen time into leakage power reduction , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[91] Seda Ogrenci Memik,et al. Power-Driven Design Partitioning , 2004, FPL.
[92] Ankur Srivastava,et al. Early evaluation techniques for low power binding , 2002, ISLPED '02.
[93] Román Hermida,et al. A Distributed Controller for Managing Speculative Functional Units in High Level Synthesis , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[94] Seda Ogrenci Memik,et al. Thermal monitoring mechanisms for chip multiprocessors , 2008, TACO.