Trading Accuracy for Power in a Multiplier Architecture

Certain classes of applications are inherently capable of absorbing some error in computation, which allows for quality to be traded off for power. Such a tradeoff is often achieved through voltage over-scaling. We propose a novel multiplier architecture with tunable error characteristics, that leverages a modified inaccurate 2x2 multiplier as its building block. Our inaccurate multipliers achieve an average power saving of 31.78%− 45.4% over corresponding accurate multiplier designs, for an average error of 1.39%−3.32%. We compare our architecture with other approaches, such as voltage scaling, for introducing error in a multiplier. Using image filtering and JPEG compression as sample applications we show that our architecture can achieve 2X 8X better SignalNoise-Ratio (SNR) for the same power savings when compared to recent voltage over-scaling based power-error tradeoff methods. We project the multiplier power savings to bigger designs highlighting the fact that the benefits are strongly design-dependent. We compare this circuit-centric approach to power-quality tradeoffs with a pure software adaptation approach for a JPEG example. Unlike recent design-for-error approaches for arithmetic logic, we also enhance the design to allow for correct operation of the multiplier using a correction unit, for non error-resilient applications which share the hardware resource.

[1]  G. Boudreaux-Bartels,et al.  Discrete Fourier transform using summation by parts , 1987, ICASSP '87. IEEE International Conference on Acoustics, Speech, and Signal Processing.

[2]  Philip N. Strenski,et al.  Uncertainty-aware circuit optimization , 2002, DAC '02.

[3]  Sandeep K. Gupta,et al.  Approximate logic synthesis for error tolerant applications , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[4]  Kartik Mohanram,et al.  Approximate logic circuits for low overhead, non-intrusive concurrent error detection , 2008, 2008 Design, Automation and Test in Europe.

[5]  Mark S. K. Lau,et al.  Energy-aware probabilistic multiplier: design and analysis , 2009, CASES '09.

[6]  Michael P. Lamoureux The poorman's transform: approximating the Fourier transform without multiplication , 1993, IEEE Trans. Signal Process..

[7]  Puneet Gupta,et al.  Trading Accuracy for Power with an Underdesigned Multiplier Architecture , 2011, 2011 24th Internatioal Conference on VLSI Design.

[8]  Kaushik Roy,et al.  Design Methodology for Low Power and Parametric Robustness Through Output-Quality Modulation: Application to Color-Interpolation Filtering , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Rob A. Rutenbar,et al.  Reducing power by optimizing the necessary precision/range of floating-point arithmetic , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Shih-Lien Lu Speeding Up Processing with Approximation Circuits , 2004, Computer.

[11]  John Sartori,et al.  Slack redistribution for graceful degradation under voltage overscaling , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[12]  Braden J. Phillips,et al.  Arithmetic Data Value Speculation , 2005, Asia-Pacific Computer Systems Architecture Conference.

[13]  Israel Koren Computer arithmetic algorithms , 1993 .

[14]  Rex Min,et al.  Power-aware systems , 2000, Conference Record of the Thirty-Fourth Asilomar Conference on Signals, Systems and Computers (Cat. No.00CH37154).

[15]  Xiaoli Yu,et al.  Fourier analysis and signal processing by use of the Mobius inversion formula , 1990, IEEE Trans. Acoust. Speech Signal Process..

[16]  Krishna V. Palem,et al.  Probabilistic arithmetic and energy efficient embedded signal processing , 2006, CASES '06.

[17]  Sandeep K. Gupta,et al.  A Re-design Technique for Datapath Modules in Error Tolerant Applications , 2008, 2008 17th Asian Test Symposium.

[18]  Braden Phillips,et al.  Estimating adders for a low density parity check decoder , 2006, SPIE Optics + Photonics.

[19]  Krishna V. Palem,et al.  Energy aware computing through probabilistic switching: a study of limits , 2005, IEEE Transactions on Computers.

[20]  Naresh R. Shanbhag,et al.  Energy-efficient signal processing via algorithmic noise-tolerance , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[21]  Suhwan Kim,et al.  Low power parallel multiplier design for DSP applications through coefficient optimization , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).