Containing guardbands

Reliability concerns may overtake conventional design constraints such as cost and performance because transistors in deep nano-CMOS era are increasingly susceptible to degradation effects. This made reliability become unsustainably expensive due to need for wider and wider guardbands (i.e. safety margins). It is in fact the time to reverse this trend: instead of widening guardbands, it is inevitable to contain them. In this work, we summarize three novel means to achieve this goal. Since the causes are of physical origin, it cannot be excluded that degradation effects influence (i.e. amplify or cancel) each other. Hence, we first investigate the interdependencies of degradation effects demonstrating that they should jointly and not separately be modeled towards designing smaller, yet sufficient guardbands. Then, we show how aging-aware logic synthesis based on our so-called degradation-aware cell libraries, enables designers to employ mature optimization algorithms available in the commercial synthesis tools to obtain more resilient circuits in which guardbands are inherently contained. Finally, instantaneous transistors aging is a recent discovery that bears a large potential for reliability optimization since it is hardly explored until now. Though aging in general has been extensively studied in last decade, investigating the impact of instantaneous aging on circuits' reliability is still in its infancy. In fact, this is a paradigm shift in aging from sole long-term reliability degradation, as in the traditional view, to short-term reliability degradation. We demonstrate how employing our physics-based aging models results in considerably smaller guardbands due to the high certainty compared to empirical aging models.

[1]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[2]  Jörg Henkel,et al.  Stress balancing to mitigate NBTI effects in register files , 2013, 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[3]  Jörg Henkel,et al.  Towards interdependencies of aging mechanisms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  Adam M. Izraelevitz,et al.  The Rocket Chip Generator , 2016 .

[5]  Jörg Henkel,et al.  Reliability-aware design to suppress aging , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[7]  Jörg Henkel,et al.  Aging-aware voltage scaling , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Georges G. E. Gielen,et al.  Computer-Aided Analog Circuit Design for Reliability in Nanometer CMOS , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[9]  Rouwaida Kanj,et al.  System-level SRAM yield enhancement , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[10]  M. Nafría,et al.  Probabilistic defect occupancy model for NBTI , 2011, 2011 International Reliability Physics Symposium.

[11]  Jörg Henkel,et al.  Connecting the physical and application level towards grasping aging effects , 2015, 2015 IEEE International Reliability Physics Symposium.

[12]  David A. Patterson,et al.  The RISC-V instruction set , 2013, 2013 IEEE Hot Chips 25 Symposium (HCS).

[13]  H. Reisinger,et al.  Analysis of NBTI Degradation- and Recovery-Behavior Based on Ultra Fast VT-Measurements , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[14]  Souvik Mahapatra,et al.  Combined trap generation and transient trap occupancy model for time evolution of NBTI during DC multi-cycle and AC stress , 2015, 2015 IEEE International Reliability Physics Symposium.

[15]  Hussam Amrouch,et al.  Techniques for Aging, Soft Errors and Temperature to Increase the Reliability of Embedded On-Chip Systems , 2015 .

[16]  D. Frank,et al.  Increasing threshold voltage variation due to random telegraph noise in FETs as gate lengths scale to 20 nm , 2006, 2009 Symposium on VLSI Technology.

[17]  B. Nikolić,et al.  Analysis of the relationship between random telegraph signal and negative bias temperature instability , 2010, 2010 IEEE International Reliability Physics Symposium.

[18]  Sachin S. Sapatnekar,et al.  Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[19]  David A. Patterson,et al.  The Berkeley Out-of-Order Machine (BOOM): An Industry-Competitive, Synthesizable, Parameterized RISC-V Processor , 2015 .

[20]  A. Brand,et al.  HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridation , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[21]  S. Mahapatra,et al.  On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress , 2006, IEEE Transactions on Electron Devices.

[22]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[23]  Muhammad Shafique,et al.  Hayat: Harnessing Dark Silicon and variability for aging deceleration and balancing , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[24]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[25]  Jörg Henkel,et al.  Interdependencies of Degradation Effects and Their Impact on Computing , 2017, IEEE Design & Test.

[26]  Jörg Henkel,et al.  Optimizing temperature guardbands , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[27]  A. Asenov Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 /spl mu/m MOSFET's: A 3-D "atomistic" simulation study , 1998 .

[28]  H. Reisinger,et al.  A Comparison of Fast Methods for Measuring NBTI Degradation , 2007, IEEE Transactions on Device and Materials Reliability.

[29]  Montserrat Nafría,et al.  Designing guardbands for instantaneous aging effects , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[30]  E. Cartier,et al.  Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on tinv-scaling , 2011, 2011 International Electron Devices Meeting.

[31]  Marco Platzner,et al.  Design and architectures for dependable embedded systems , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[32]  Said Hamdioui,et al.  Trends and challenges of SRAM reliability in the nano-scale era , 2010, 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era.