A 112 Gb/s PAM-4 56 Gb/s NRZ Reconfigurable Transmitter With Three-Tap FFE in 10-nm FinFET

This paper presents a reconfigurable 56 GS/s transmitter (TX) that operates up to 112 Gb/s with four-level pulse-amplitude modulation (PAM-4) and at 56 Gb/s with non-return-to-zero (NRZ) modulation scheme. Fabricated in the 10-nm FinFET technology, the TX incorporates a four-way interleaved quarter-rate architecture with a three-tap feed-forward equalizer (FFE). Key features of the TX include a 1-UI pulse-generator-based 4:1 serializer combined with a current-mode logic (CML) driver, low-power data-serializing paths, an output pad-network using a multi-segment $\pi $ -coil for bandwidth co-optimization together with ESD diodes, sub-80-fs resolution duty-cycle detector/corrector (DCD/DCC) and quadrature-error detector/corrector (QED/QEC) circuits, and a hybrid LC-phase-locked loop (PLL) with quadrature clock distribution circuits. The TX operating at 112 Gb/s in PAM-4 modulation consumes 232 mW from 1- and 1.5-V supplies, achieving an 2.07 pJ/b energy efficiency. The TX front end occupies an area of 0.0302 mm2.

[1]  J. Draper,et al.  Duty cycle measurement and correction using a random sampling technique , 2005, 48th Midwest Symposium on Circuits and Systems, 2005..

[2]  Hongtao Zhang,et al.  A 56-Gb/s PAM4 Wireline Transceiver Using a 32-Way Time-Interleaved SAR ADC in 16-nm FinFET , 2017, IEEE Journal of Solid-State Circuits.

[3]  Anthony Chan Carusone,et al.  International Solid-State Circuits Conference ISSCC 2018 / SESSION 6 / ULTRA-HIGH-SPEED WIRELINE / 6 . 5 6 . 5 A 64 Gb / s PAM-4 Transceiver Utilizing an Adaptive Threshold ADC in 16 nm FinFET , 2019 .

[4]  Lei Zhou,et al.  A 40-to-56 Gb/s PAM-4 Receiver With Ten-Tap Direct Decision-Feedback Equalization in 16-nm FinFET , 2017, IEEE Journal of Solid-State Circuits.

[5]  Alexander V. Rylyakov,et al.  A 28 GHz Hybrid PLL in 32 nm SOI CMOS , 2014, IEEE Journal of Solid-State Circuits.

[6]  Amr Elshazly,et al.  A 0.4-to-3 GHz Digital PLL With PVT Insensitive Supply Noise Cancellation Using Deterministic Background Calibration , 2011, IEEE Journal of Solid-State Circuits.

[7]  Matteo Bassi,et al.  A High-Swing 45 Gb/s Hybrid Voltage and Current-Mode PAM-4 Transmitter in 28 nm CMOS FDSOI , 2016, IEEE Journal of Solid-State Circuits.

[8]  Junho Cho,et al.  A fully adaptive 19-to-56Gb/s PAM-4 wireline transceiver with a configurable ADC in 16nm FinFET , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[9]  Bryan Casper,et al.  Clocking Analysis, Implementation and Measurement Techniques for High-Speed Data Links—A Tutorial , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[10]  Seongwon Kim,et al.  A 2.6mW 370MHz-to-2.5GHz Open-Loop Quadrature Clock Generator , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[11]  Hiva Hedayati,et al.  A 40-to-64 Gb/s NRZ Transmitter With Supply-Regulated Front-End in 16 nm FinFET , 2016, IEEE Journal of Solid-State Circuits.

[12]  C. Auth,et al.  A 10nm high performance and low-power CMOS technology featuring 3rd generation FinFET transistors, Self-Aligned Quad Patterning, contact over active gate and cobalt local interconnects , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[13]  Mounir Meghelli,et al.  6.5 A 1.8pJ/b 56Gb/s PAM-4 transmitter with fractionally spaced FFE in 14nm CMOS , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[14]  Chih-Kong Ken Yang,et al.  A 32–48 Gb/s Serializing Transmitter Using Multiphase Serialization in 65 nm CMOS Technology , 2015, IEEE Journal of Solid-State Circuits.

[15]  Enrico Monaco,et al.  6.4 A 64Gb/s PAM-4 transmitter with 4-Tap FFE and 2.26pJ/b energy efficiency in 28nm CMOS FDSOI , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[16]  Elad Alon,et al.  Design Techniques for a 60-Gb/s 288-mW NRZ Transceiver With Adaptive Equalization and Baud-Rate Clock and Data Recovery in 65-nm CMOS Technology , 2017, IEEE Journal of Solid-State Circuits.

[17]  Ahmed Elkholy,et al.  A 3.7 mW Low-Noise Wide-Bandwidth 4.5 GHz Digital Fractional-N PLL Using Time Amplifier-Based TDC , 2015, IEEE Journal of Solid-State Circuits.

[18]  Bryan Casper,et al.  An on-die all-digital delay measurement circuit with 250fs accuracy , 2012, 2012 Symposium on VLSI Circuits (VLSIC).

[19]  Pen-Jui Peng,et al.  International Solid-State Circuits Conference ISSCC 2017 / SESSION 6 / ULTRA-HIGH-SPEED WIRELINE / 6 . 1 6 . 1 A 56 Gb / s PAM-4 / NRZ Transceiver in 40 nm CMOS , 2017 .