System-level power optimization: techniques and tools
暂无分享,去创建一个
[1] Mani B. Srivastava,et al. Predictive system shutdown and other architectural techniques for energy efficient programmable computation , 1996, IEEE Trans. Very Large Scale Integr. Syst..
[2] Hugo De Man,et al. Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[3] Hector Sanchez,et al. PowerPC 603, a microprocessor for portable computers , 1994, IEEE Design & Test of Computers.
[4] Sujit Dey,et al. High-Level Power Analysis and Optimization , 1997 .
[5] PAUL M. CHAU,et al. Power dissipation of VLSI array processing systems , 1992, J. VLSI Signal Process..
[6] Jianwen Zhu,et al. Specification and Design of Embedded Systems , 1998, Informationstechnik Tech. Inform..
[7] Anantha P. Chandrakasan,et al. Low Power Digital CMOS Design , 1995 .
[8] Hugo De Man,et al. Global Communication and Memory Optimizing Transformations for Low Power Systems , 1994 .
[9] Wayne Wolf,et al. Hardware-software co-design of embedded systems , 1994, Proc. IEEE.
[10] Luca Benini,et al. Policy optimization for dynamic power management , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[11] Alan Jay Smith,et al. Software strategies for portable computer energy management , 1998, IEEE Wirel. Commun..
[12] David A. Rennels,et al. Reducing the frequency of tag compares for low power I-cache design , 1995, ISLPED '95.
[13] Victor V. Zyuban,et al. The energy complexity of register files , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[14] Srilatha Manne,et al. Power and performance tradeoffs using various caching strategies , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[15] Tomás Lang,et al. Working-zone encoding for reducing the energy in microprocessor address buses , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[16] Takao Onoye,et al. An object code compression approach to embedded processors , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.
[17] Nikil D. Dutt,et al. Reducing address bus transition for low power memory mapping , 1996, Proceedings ED&TC European Design and Test Conference.
[18] Luca Benini,et al. Dynamic Power Management , 1998 .
[19] Prathima Agrawal. Energy conservation design techniques for mobile wireless VLSI systems , 1998, Proceedings IEEE Computer Society Workshop on VLSI'98 System Level Design (Cat. No.98EX158).
[20] Donald E. Thomas,et al. Memory modeling for system synthesis , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[21] Steven S. Muchnick,et al. Advanced Compiler Design and Implementation , 1997 .
[22] Jui-Ming Chang,et al. Energy Minimization Using Multiple Supply Voltages , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[23] Mircea R. Stan,et al. Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[24] Miodrag Potkonjak,et al. Power optimization in programmable processors and ASIC implementations of linear systems: transformation-based approach , 1996, DAC '96.
[25] Hui Zhang,et al. Low-swing interconnect interface circuits , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[26] Fred Douglis,et al. Adaptive Disk Spin-Down Policies for Mobile Computers , 1995, Comput. Syst..
[27] Teresa H. Meng,et al. A high-efficiency variable-voltage CMOS dynamic dc-dc switching regulator , 1997 .
[28] M. Sarrafzadeh,et al. Activity-driven clock design for low power circuits , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[29] Kanad Ghose,et al. Analytical energy dissipation models for low-power caches , 1997, ISLPED '97.
[30] Norman P. Jouppi,et al. CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.
[31] S. Ramprasad,et al. Signal coding for low power: fundamental limits and practical realizations , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).
[32] Miodrag Potkonjak,et al. Algorithm selection: a quantitative optimization-intensive approach , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[33] Uming Ko,et al. Energy optimization of multilevel cache architectures for RISC and CISC processors , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[34] De-Yu Kao,et al. Optimization of power dissipation and skew sensitivity in clock buffer synthesis , 1995, ISLPED '95.
[35] Massoud Pedram,et al. High-level power modeling, estimation, and optimization , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[36] Xiaobo Sharon Hu,et al. Low energy register allocation beyond basic blocks , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).
[37] Francky Catthoor,et al. Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System Design , 1998 .
[38] L. S. Nielsen,et al. Low-power operation using self-timed circuits and adaptive scaling of the supply voltage , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[39] Catherine H. Gebotys. Low energy memory and register allocation using network flow , 1997, DAC.
[40] Luca Benini,et al. Cycle-accurate simulation of energy consumption in embedded systems , 1999, DAC '99.
[41] Hugo De Man,et al. System-Level Power Optimization of Video Codecs on Embedded Cores: A Systematic Approach , 1998, J. VLSI Signal Process..
[42] William J. Dally,et al. Digital systems engineering , 1998 .
[43] Larry L. Biro,et al. Power considerations in the design of the Alpha 21264 microprocessor , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[44] Steve Furber,et al. ARM System Architecture , 1996 .
[45] Takashi Ishikawa,et al. Design methodology of ultra low-power MPEG4 codec core exploiting voltage scaling techniques , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[46] Jan M. Rabaey,et al. Low-power design of memory intensive functions , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.
[47] Miodrag Potkonjak,et al. Power minimization in DSP application specific systems using algorithm selection , 1995, 1995 International Conference on Acoustics, Speech, and Signal Processing.
[48] Ingrid Verbauwhede,et al. A Low Power DSP Engine for Wireless Communications , 1998, J. VLSI Signal Process..
[49] Luca Benini,et al. Address bus encoding techniques for system-level power optimization , 1998, Proceedings Design, Automation and Test in Europe.
[50] Robert W. Brodersen,et al. A low-voltage CMOS DC-DC converter for a portable battery-operated system , 1994, Proceedings of 1994 Power Electronics Specialist Conference - PESC'94.
[51] Mark Horowitz,et al. A low power switching power supply for self-clocked systems , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[52] Kimiyoshi Usami,et al. Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1998 .
[53] Miodrag Potkonjak,et al. Power efficient mediaprocessors: design space exploration , 1999, DAC '99.
[54] Hugo De Man,et al. Transforming set data types to power optimal data structures , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[55] Hiroto Yasuura,et al. Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[56] Majid Sarrafzadeh,et al. System partitioning to maximize sleep time , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[57] Nikil D. Dutt,et al. Low power mapping of behavioral arrays to multiple memories , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[58] James D. Meindl,et al. Low power microelectronics: retrospect and prospect , 1995, Proc. IEEE.
[59] N. Bambos,et al. Toward power-sensitive network architectures in wireless communications: concepts, issues, and design aspects , 1998, IEEE Wirel. Commun..
[60] Hiroto Yasuura,et al. Power-Pro: programmable power management architecture , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.
[61] Vivek Tiwari,et al. Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[62] Ramesh Karri,et al. High-reliability, low-energy microarchitecture synthesis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[63] Jan M. Rabaey,et al. Low-power architectural synthesis and the impact of exploiting locality , 1996, J. VLSI Signal Process..
[64] Kenneth Y. Yun,et al. Practical advances in asynchronous design and in asynchronous/synchronous interfaces , 1999, DAC '99.
[65] Carla Schlatter Ellis,et al. The case for higher-level power management , 1999, Proceedings of the Seventh Workshop on Hot Topics in Operating Systems.
[66] Jan M. Rabaey,et al. Early power exploration—a World Wide Web application , 1996, DAC '96.
[67] Naresh R Shanbhag,et al. Energy-efficiency in presence of deep submicron noise , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[68] Hugo De Man,et al. Code transformations for low power caching in embedded multimedia processors , 1998, Proceedings of the First Merged International Parallel Processing Symposium and Symposium on Parallel and Distributed Processing.
[69] Alan Jay Smith,et al. Scheduling techniques for reducing processor energy use in MacOS , 1997, Wirel. Networks.
[70] Jan M. Rabaey,et al. An energy conscious methodology for early design exploration of heterogeneous DSPs , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).
[71] Sharad Malik,et al. Instruction level power analysis and optimization of software , 1996, J. VLSI Signal Process..
[72] Massoud Pedram,et al. Power Optimization in VLSI Layout: A Survey , 1997, J. VLSI Signal Process..
[73] Luca Benini,et al. Policy optimization for dynamic power management , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[74] Garrison W. Greenwood,et al. Scheduling for power reduction in a real-time system , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.
[75] M. Potkonjak,et al. On-line scheduling of hard real-time tasks on variable voltage processor , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[76] Anantha P. Chandrakasan,et al. Low-power digital filtering using approximate processing , 1996 .
[77] Allen C.-H. Wu,et al. A predictive system shutdown method for energy saving of event-driven computation , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[78] Hiroyuki Tomiyama,et al. Instruction scheduling for power reduction in processor-based system design , 1998, Proceedings Design, Automation and Test in Europe.
[79] Miodrag Potkonjak,et al. System-level synthesis of low-power hard real-time systems , 1997, DAC.
[80] Massoud Pedram,et al. Low power design methodologies , 1996 .
[81] Sumedh W. Sathaye,et al. A technique to determine power-efficient, high-performance superscalar processors , 1995, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences.
[82] Wayne H. Wolf,et al. Code compression for embedded systems , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[83] S. W. Depp,et al. Technology directions for portable computers , 1995, Proc. IEEE.
[84] Mircea R. Stan,et al. Low-power encodings for global communication in CMOS VLSI , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[85] John P. Knight,et al. Optimizing Power in ASIC Behavioral Synthesis , 1996, IEEE Des. Test Comput..
[86] Satoshi Shigematsu,et al. A 1-V multithreshold-voltage CMOS digital signal processor for mobile phone application , 1996, IEEE J. Solid State Circuits.
[87] Mary Jane Irwin,et al. Techniques for low energy software , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.
[88] Sharad Malik,et al. Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[89] Liam Goudge,et al. Embedded control problems, Thumb, and the ARM7TDMI , 1995, IEEE Micro.
[90] Massoud Pedram,et al. Dynamic power management based on continuous-time Markov decision processes , 1999, DAC '99.
[91] Giovanni De Micheli,et al. Adaptive hard disk power management on personal computers , 1999, Proceedings Ninth Great Lakes Symposium on VLSI.
[92] Miodrag Potkonjak,et al. Power optimization of variable voltage core-based systems , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[93] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[94] Chaitali Chakrabarti,et al. Memory exploration for low power, embedded systems , 1999, DAC '99.
[95] Ibrahim N. Hajj,et al. Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[96] Daniel D. Gajski,et al. High ― Level Synthesis: Introduction to Chip and System Design , 1992 .
[97] Nikil D. Dutt,et al. Low-power memory mapping through reducing address bus activity , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[98] Andrew Wolfe. Issues for low-power CAD tools: A system-level design study , 1996, Des. Autom. Embed. Syst..
[99] Jeffry T. Russell,et al. Software power estimation and optimization for high performance, 32-bit embedded processors , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[100] William H. Mangione-Smith,et al. The filter cache: an energy efficient memory structure , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[101] Teresa H. Meng,et al. Portable video-on-demand in wireless communication , 1995, Proc. IEEE.
[102] Hugo De Man,et al. Program transformation strategies for memory size and power reduction of pseudoregular multimedia subsystems , 1998, IEEE Trans. Circuits Syst. Video Technol..
[103] Mary Jane Irwin,et al. An extended addressing mode for low power , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.
[104] Raminder Singh Bajwa,et al. Instruction buffering to reduce power in processors for signal processing , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[105] Mary Jane Irwin,et al. Number representations for reducing switched capacitance in subband coding , 1998, Proceedings of the 1998 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP '98 (Cat. No.98CH36181).
[106] Darrell D. E. Long,et al. A dynamic disk spin-down technique for mobile computing , 1996, MobiCom '96.
[107] M. Potkonjak,et al. Techniques for energy minimization of communication pipelines , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[108] T. F. Chen,et al. Segmented bus design for low-power systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[109] Catherine H. Gebotys,et al. An empirical comparison of algorithmic, instruction, and architectural power prediction models for high performance embedded DSP processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[110] Jörg Henkel. A low power hardware/software partitioning approach for core-based embedded systems , 1999, DAC '99.
[111] Ikuya Kawasaki,et al. SH3: high code density, low power , 1995, IEEE Micro.
[112] Y. Nakagome,et al. Trends in low-power RAM circuit technologies , 1995 .
[113] Niraj K. Jha,et al. SCALP: an iterative-improvement-based low-power data path synthesis system , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[114] Niraj K. Jha,et al. COSYN: Hardware-software co-synthesis of heterogeneous distributed embedded systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[115] Miodrag Potkonjak,et al. Optimizing power using transformations , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[116] Anantha P. Chandrakasan,et al. Data driven signal processing: an approach for energy efficient computing , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[117] Luca Benini,et al. Dynamic power management for nonstationary service requests , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).
[118] Kiyoung Choi,et al. Power conscious fixed priority scheduling for hard real-time systems , 1999, DAC '99.
[119] Luca Benini,et al. Energy-efficient design of battery-powered embedded systems , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[120] Luca Benini,et al. Quantitative comparison of power management algorithms , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).
[121] F. Sano,et al. A 300 MIPS/W RISC core processor with variable supply-voltage scheme in variable threshold-voltage CMOS , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[122] Sujit Dey,et al. Register transfer level power optimization with emphasis on glitch analysis and reduction , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[123] Majid Sarrafzadeh,et al. Variable voltage scheduling , 1995, ISLPED '95.
[124] Sharad Malik,et al. Power analysis and minimization techniques for embedded DSP software , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[125] Miodrag Potkonjak,et al. A methodology for guided behavioral-level optimization , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[126] Luca Benini,et al. Dynamic power management - design techniques and CAD tools , 1997 .
[127] José C. Monteiro,et al. Scheduling techniques to enable power management , 1996, DAC '96.
[128] Ih-Chin Chen,et al. A 1-V programmable DSP for wireless communications [CMOS] , 1997 .
[129] S. Katkoori,et al. Profile-driven behavioral synthesis for low-power VLSI systems , 1995, IEEE Design & Test of Computers.
[130] Thomas D. Burd,et al. Processor design for portable systems , 1996, J. VLSI Signal Process..
[131] Majid Sarrafzadeh,et al. Memory Segmentation to Exploit Sleep Mode Operation , 1995, 32nd Design Automation Conference.
[132] Bhanu Kapoor,et al. Low power memory architectures for video applications , 1998, Proceedings of the 8th Great Lakes Symposium on VLSI (Cat. No.98TB100222).
[133] Anantha Chandrakasan,et al. Approximate Signal Processing , 1997, J. VLSI Signal Process..
[134] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[135] Mark Horowitz,et al. Energy dissipation in general purpose microprocessors , 1996, IEEE J. Solid State Circuits.
[136] Thomas D. Burd,et al. The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[137] Luca Benini,et al. Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems , 1997, Proceedings Great Lakes Symposium on VLSI.
[138] Sujit Dey,et al. Common-case computation: a high-level technique for power and performance optimization , 1999, DAC '99.
[139] Kurt Keutzer,et al. Code density optimization for embedded DSP processors using data compression techniques , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[140] Luca Benini,et al. Reducing power consumption of dedicated processors through instruction set encoding , 1998, Proceedings of the 8th Great Lakes Symposium on VLSI (Cat. No.98TB100222).
[141] Philip M. Long,et al. Adaptive Disk Spindown via Optimal Rent-to-Buy in Probabilistic Environments , 1999, Algorithmica.
[142] Mary Jane Irwin,et al. Some issues in gray code addressing , 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.
[143] Chi-Ying Tsui,et al. Saving power in the control path of embedded processors , 1994, IEEE Design & Test of Computers.
[144] Eric A. Vittoz. Low-power design: ways to approach the limits , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.
[145] Randy H. Katz,et al. Measuring and Reducing Energy Consumption of Network Interfaces in Hand-Held Devices (Special Issue on Mobile Computing) , 1997 .
[146] Makoto Ikeda,et al. Low power chip interface based on bus data encoding with adaptive code-book method , 1999, Proceedings Ninth Great Lakes Symposium on VLSI.
[147] Gert Goossens,et al. Embedded software in real-time signal processing systems: design technologies , 1997, Proc. IEEE.
[148] Kiyoung Choi,et al. Power-conscious high level synthesis using loop folding , 1997, DAC.
[149] Carl Staelin,et al. Idleness is Not Sloth , 1995, USENIX.
[150] Tomás Lang,et al. Reducing TLB power requirements , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.
[151] Jason Flinn,et al. Energy-aware adaptation for mobile applications , 1999, SOSP.
[152] Johnny Öberg,et al. Lowering power consumption in clock by using globally asynchronous locally synchronous design style , 1999, DAC '99.
[153] Hal Wasserman,et al. Comparing algorithm for dynamic speed-setting of a low-power CPU , 1995, MobiCom '95.
[154] Luca Benini,et al. System-level power estimation and optimization , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[155] Niraj K. Jha,et al. FACT: a framework for the application of throughput and power optimizing transformations to control-flow intensive behavioral descriptions , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[156] Edward A. Lee,et al. A framework for comparing models of computation , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[157] C. Chakrabarti,et al. Scheduling for minimizing the number of memory accesses in low power applications , 1996, VLSI Signal Processing, IX.
[158] Mike Tien-Chien Lee,et al. A memory allocation technique for low-energy embedded DSP software , 1995, 1995 IEEE Symposium on Low Power Electronics. Digest of Technical Papers.
[159] Sheldon M. Ross. Introduction to probability models , 1998 .
[160] Goutam Debnath,et al. The Pentium processor-90/100, microarchitecture and low power circuit design , 1995, Proceedings of the 8th International Conference on VLSI Design.
[161] Alvin M. Despain,et al. Cache design trade-offs for power and performance optimization: a case study , 1995, ISLPED '95.
[162] Donald E. Thomas,et al. Instruction subsetting: Trading power for programmability , 1998, Proceedings IEEE Computer Society Workshop on VLSI'98 System Level Design (Cat. No.98EX158).
[163] Jörg Henkel,et al. A framework for estimation and minimizing energy dissipation of embedded HW/SW systems , 1998, DAC.
[164] Anna R. Karlin,et al. Competitive randomized algorithms for non-uniform problems , 1990, SODA '90.
[165] Daniel P. Siewiorek,et al. A power metric for mobile systems , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[166] Scott Shenker,et al. Scheduling for reduced CPU energy , 1994, OSDI '94.
[167] Luca Benini,et al. Power optimization of core-based systems by address bus encoding , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[168] Jeff Slaton,et al. StrongARMing portable communications , 1998, IEEE Micro.
[169] Takao Nishitani,et al. Low-Power Architectures for Programmable Multimedia Processors (Special Section on VLSI for Digital Signal Processing) , 1999 .
[170] Frank Vahid,et al. Interface exploration for reduced power in core-based systems , 1998, Proceedings. 11th International Symposium on System Synthesis (Cat. No.98EX210).
[171] Miodrag Potkonjak,et al. Synthesis of power efficient systems-on-silicon , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.
[172] Giovanni De Micheli,et al. Synthesis and Optimization of Digital Circuits , 1994 .
[173] Massoud Pedram,et al. Power minimization in IC design: principles and applications , 1996, TODE.
[174] Luca Benini,et al. Synthesis of low-overhead interfaces for power-efficient communication over wide buses , 1999, DAC '99.
[175] Anantha Chandrakasan,et al. Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[176] Hugo De Man,et al. Power exploration for dynamic data types through virtual memory management refinement , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[177] Jan M. Rabaey,et al. A partitioning scheme for optimizing interconnect power , 1997, IEEE J. Solid State Circuits.
[178] Massoud Pedram,et al. Design considerations for battery-powered electronics , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[179] Miodrag Potkonjak,et al. Synthesis techniques for low-power hard real-time systems on variable voltage processors , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).
[180] Marco Winzker,et al. Low-power arithmetic for the processing of video signals , 1998, IEEE Trans. Very Large Scale Integr. Syst..