An overview and classification of thermal-aware scheduling techniques for multi-core processing systems

Abstract Thermal-aware scheduling of parallel jobs is becoming an increasingly critical issue in software design for computing platforms ranging from embedded systems to large servers and entire data centers. In addition to the obvious implications of unrestricted temperature, such as thermal emergencies resulting in hardware failure, effective thermal management reduces the cost of thermal packaging for processors while achieving long-term savings from reduced secondary cooling costs. In embedded systems, hardware temperature control mechanisms in conjunction with software and other dynamic thermal management (DTM) techniques have been effectively utilized to avoid overheating. Server systems can also optimally take advantage of advanced DTM and efficient task scheduling to exert a positive impact on overall cooling costs as well as on prolonging the life of system components. The aim of this paper is to provide a comprehensive survey of recent research on thermal-aware task scheduling techniques for multi-core systems. The paper starts with the motivation behind managing temperature through task mapping and scheduling and then addresses the problems faced in such an approach, while providing commonly used thermal and task models as well as contemporary temperature control mechanisms of modern multi-core processors. A number of objectives of optimization with different combinations of temperature and performance constraints are elaborated. Also described in the context of above mentioned issues is a structured coverage of recently published research work. This leads to grouping of existing techniques in a taxonomical manner as well as to some conclusive observations that can help in identifying possible new research directions.

[1]  Li Shang,et al.  HybDTM: a coordinated hardware-software approach for dynamic thermal management , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[2]  Jörg Henkel,et al.  TAPE: thermal-aware agent-based power economy for multi/many-core architectures , 2009, ICCAD '09.

[3]  Douglas L. Maskell,et al.  High level event driven thermal estimation for thermal aware task allocation and scheduling , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[4]  Stephen P. Boyd,et al.  Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[5]  Ishfaq Ahmad,et al.  Stretch and compress based re-scheduling techniques for minimizing the execution times of DAGs on multi-core processors under energy constraints , 2010, International Conference on Green Computing.

[6]  Liqiang He,et al.  A Fast Scheme to Investigate Thermal-Aware Scheduling Policy for Multicore Processors , 2009, APPT.

[7]  Lothar Thiele,et al.  Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[8]  Giorgio Buttazzo,et al.  Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications , 1997 .

[9]  H. Peter Hofstee,et al.  Power efficient processor architecture and the cell processor , 2005, 11th International Symposium on High-Performance Computer Architecture.

[10]  Ravishankar Rao,et al.  Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors , 2008, ICCAD 2008.

[11]  Marek Chrobak,et al.  Performance-aware thermal management via task scheduling , 2010, TACO.

[12]  J. Lienhard A heat transfer textbook , 1981 .

[13]  Vangelis Metsis,et al.  Energy-Constrained Scheduling of DAGs on Multi-core Processors , 2009, IC3.

[14]  Dong Li,et al.  System-level, thermal-aware, fully-loaded process scheduling , 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

[15]  Sherief Reda,et al.  Frequency planning for multi-core processors under thermal constraints , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[16]  Marek Chrobak,et al.  Dynamic Thermal Management through Task Scheduling , 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.

[17]  Soonhoi Ha,et al.  Proceedings of the 2008 Asia and South Pacific Design Automation Conference , 2008, ASP-DAC 2008.

[18]  Sanjay Ranka,et al.  Using game theory for scheduling tasks on multi-core processors for simultaneous optimization of performance and energy , 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

[19]  Tajana Simunic,et al.  Predict and act: dynamic thermal management for multi-core processors , 2009, ISLPED.

[20]  Jürgen Teich,et al.  Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis , 2007 .

[21]  R. Mukherjee,et al.  Physical Aware Frequency Selection for Dynamic Thermal Management in Multi-Core Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[22]  Yong Dou,et al.  Proceedings of the 8th International Symposium on Advanced Parallel Processing Technologies , 2009 .

[23]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[24]  Luca Benini,et al.  Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization , 2008, 2008 Design, Automation and Test in Europe.

[25]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Xiaorui Wang,et al.  Dynamic Thermal and Timeliness Guarantees for Distributed Real-Time Embedded Systems , 2009, 2009 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[27]  Cullen E. Bash,et al.  Computational Fluid Dynamics Modeling of High Compute Density Data Centers to Assure System Inlet Air Specifications , 2001 .

[28]  Eun Jung Kim,et al.  Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[29]  Kevin Skadron,et al.  CMP design space exploration subject to physical constraints , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[30]  Sanjukta Bhanja,et al.  Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009 , 2009, ACM Great Lakes Symposium on VLSI.

[31]  Gürhan Küçük,et al.  Reducing reorder buffer complexity through selective operand caching , 2003, ISLPED '03.

[32]  Lothar Thiele,et al.  Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[33]  Chaitali Chakrabarti,et al.  Throughput of multi-core processors under thermal constraints , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[34]  Kevin Skadron,et al.  Predictive Temperature-Aware DVFS , 2010, IEEE Transactions on Computers.

[35]  Tajana Simunic,et al.  Proactive temperature management in MPSoCs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[36]  Ki-Seok Chung,et al.  On-line learning based dynamic thermal management for multicore systems , 2008, 2008 International SoC Design Conference.

[37]  Yiannakis Sazeides,et al.  Performance implications of single thread migration on a chip multi-core , 2005, CARN.

[38]  H. Peter Hofstee,et al.  Introduction to the Cell multiprocessor , 2005, IBM J. Res. Dev..

[39]  T. N. Vijaykumar,et al.  Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.

[40]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[41]  Petru Eles,et al.  On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[42]  Douglas L. Maskell,et al.  Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system , 2009, GLSVLSI '09.

[43]  José González,et al.  Understanding the Thermal Implications of Multi-Core Architectures , 2007, IEEE Transactions on Parallel and Distributed Systems.

[44]  Sherief Reda,et al.  Spectral techniques for high-resolution thermal characterization with limited sensor data , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[45]  Tajana Simunic,et al.  Temperature-aware MPSoC scheduling for reducing hot spots and gradients , 2008, 2008 Asia and South Pacific Design Automation Conference.

[46]  Tajana Simunic,et al.  Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[47]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[48]  Sarita V. Adve,et al.  Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.

[49]  W. Robert Daasch,et al.  TEM2P2EST: A Thermal Enabled Multi-model Power/Performance ESTimator , 2000, PACS.

[50]  Frank Bellosa,et al.  Task activity vectors: a new metric for temperature-aware scheduling , 2008, Eurosys '08.

[51]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[52]  Fabien Clermidy,et al.  Temperature-Aware Distributed Run-Time Optimization on MP-SoC Using Game Theory , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

[53]  Edwin V. Bonilla,et al.  Predicting best design trade-offs: A case study in processor customization , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[54]  Omer Khan,et al.  Hardware/software co-design architecture for thermal management of chip multiprocessors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[55]  Kyriakos Stavrou,et al.  Thermal-Aware Scheduling: A Solution for Future Chip Multiprocessors Thermal Problems , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[56]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[57]  E. Musoll A Thermal-Friendly Load-Balancing Technique for Multi-Core Processors , 2008, ISQED 2008.

[58]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[59]  Yiannakis Sazeides,et al.  A study of thread migration in temperature-constrained multicores , 2007, TACO.

[60]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[61]  Tajana Rosing,et al.  Accurate Temperature Estimation for Efficient Thermal Management , 2008, ISQED 2008.

[62]  Chen-Yong Cher,et al.  Temperature Variation Characterization and Thermal Management of Multicore Architectures , 2009, IEEE Micro.

[63]  Seda Ogrenci Memik,et al.  Systematic temperature sensor allocation and placement for microprocessors , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[64]  Narayanan Vijaykrishnan,et al.  Hotspot prevention through runtime reconfiguration in network-on-chip , 2005, Design, Automation and Test in Europe.