Integrated Latch Placement and Cloning for Timing Optimization
暂无分享,去创建一个
[1] Daniel Brélaz,et al. New methods to color the vertices of a graph , 1979, CACM.
[2] David Z. Pan,et al. Pyramids: An efficient computational geometry-based approach for timing-driven placement , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[3] Shiyan Hu,et al. CATALYST: Planning layer directives for effective design closure , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[4] Igor L. Markov,et al. RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Jarrod A. Roy,et al. ITOP: integrating timing optimization within placement , 2010, ISPD '10.
[6] Frank M. Johannes,et al. Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[7] Gi-Joon Nam,et al. Techniques for Fast Physical Synthesis , 2007, Proceedings of the IEEE.
[8] Nadine Gottschalk,et al. Vlsi Physical Design From Graph Partitioning To Timing Closure , 2016 .
[9] Sachin S. Sapatnekar,et al. Accurate estimation of global buffer delay within a floorplan , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[10] Jeng-Liang Tsai,et al. Sensitivity guided net weighting for placement driven synthesis , 2004, ISPD '04.
[11] Stephan Held,et al. Post-routing latch optimization for timing closure , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[12] Shiyan Hu,et al. Ultra-fast interconnect driven cell cloning for minimizing critical path delay , 2010, ISPD '10.
[13] Noel Menezes,et al. Repeater scaling and its impact on CAD , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Yao-Wen Chang,et al. Timing-driven cell placement optimization for early slack histogram compression , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Ralph H. J. M. Otten,et al. Global wires: harmful? , 1998, ISPD '98.
[16] Igor L. Markov,et al. RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[17] Ankur Srivastava,et al. Timing driven gate duplication , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] Jason Cong,et al. A rigorous framework for convergent net weighting schemes in timing-driven placement , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[19] Ulrich Brenner,et al. BonnPlace: A Self-Stabilizing Placement Framework , 2015, ISPD.
[20] Yao-Wen Chang,et al. Timing ECO Optimization Via Bézier Curve Smoothing and Fixability Identification , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.