On the Design of Real-Time Systems on Multi- Core Platforms under Uncertainty
暂无分享,去创建一个
[1] Muhammad Shafique,et al. The EDA challenges in the dark silicon era , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[2] Petru Eles,et al. On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[3] Ashish Goel,et al. Stochastic load balancing and related problems , 1999, 40th Annual Symposium on Foundations of Computer Science (Cat. No.99CB37039).
[4] Shaolei Ren,et al. Multi-core fixed-priority scheduling of real-time tasks with statistical deadline guarantee , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[5] Kaushik Roy,et al. Speed binning aware design methodology to improve profit under parameter variations , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[6] Nicole Megow,et al. Polynomial-Time Exact Schedulability Tests for Harmonic Real-Time Tasks , 2013, 2013 IEEE 34th Real-Time Systems Symposium.
[7] Joaquín Entrialgo,et al. Stochastic analysis of real-time systems under preemptive priority-driven scheduling , 2008, Real-Time Systems.
[8] Petru Eles,et al. Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems , 2012, DAC Design Automation Conference 2012.
[9] Gang Qu,et al. Temperature-Aware Leakage Minimization Technique for Real-Time Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[10] Gang Quan,et al. Multi-core partitioned scheduling for fixed-priority periodic real-time tasks with enhanced RBound , 2014, Fifteenth International Symposium on Quality Electronic Design.
[11] R.H. Dennard,et al. Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.
[12] Sanjoy K. Baruah,et al. A Categorization of Real-Time Multiprocessor Scheduling Problems and Algorithms , 2004, Handbook of Scheduling.
[13] Lian-Tuu Yeh,et al. Thermal management of microelectronic equipment : heat transfer theory, analysis methods, and design practices , 2002 .
[14] K.G. Shin,et al. On-line dynamic voltage scaling for hard real-time systems using the EDF algorithm , 2004, 25th IEEE International Real-Time Systems Symposium.
[15] Narayanan Vijaykrishnan,et al. Variation-aware task allocation and scheduling for MPSoC , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[16] Doug Burger,et al. Exploiting microarchitectural redundancy for defect tolerance , 2003, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[17] John P. Lehoczky,et al. Fixed priority scheduling of periodic task sets with arbitrary deadlines , 1990, [1990] Proceedings 11th Real-Time Systems Symposium.
[18] Charlie Johnson,et al. Future processors: flexible and modular , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[19] Jitender S. Deogun,et al. Thermal-Constrained Energy-Aware Partitioning for Heterogeneous Multi-core Multiprocessor Real-Time Systems , 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.
[20] F. Frances Yao,et al. A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.
[21] Karam S. Chatha,et al. Thermal aware task sequencing on embedded processors , 2010, Design Automation Conference.
[22] J Raftery,et al. Opportunity cost , 1999, BMJ.
[23] Qing Wu,et al. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[24] Qiang Xu,et al. On Topology Reconfiguration for Defect-Tolerant NoC-Based Homogeneous Manycore Systems , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[25] Gerhard Fohler,et al. A Framework to Construct Customized Harmonic Periods for Real-Time Systems , 2014, 2014 26th Euromicro Conference on Real-Time Systems.
[26] Chang-Gun Lee,et al. An exact stochastic analysis of priority-driven periodic real-time systems and its approximations , 2005, IEEE Transactions on Computers.
[27] Siddharth Garg,et al. System-Level Leakage Variability Mitigation for MPSoC Platforms Using Body-Bias Islands , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[28] Sarma B. K. Vrudhula,et al. Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[29] Wang Yi,et al. Fixed-Priority Multiprocessor Scheduling with Liu and Layland's Utilization Bound , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.
[30] Tajana Simunic,et al. TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[31] Jennifer C. Hou,et al. Distance-Constrained Scheduling and Its Applications to Real-Time Systems , 1996, IEEE Trans. Computers.
[32] Björn Andersson,et al. Real-time scheduling with resource sharing on uniform multiprocessors , 2012, RTNS '12.
[33] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[34] Gang Quan,et al. Energy minimization for fault tolerant real-time applications on multiprocessor platforms using checkpointing , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[35] Gang Quan,et al. Harmonic-Fit Partitioned Scheduling for Fixed-Priority Real-Time Tasks on the Multiprocessor Platform , 2011, 2011 IFIP 9th International Conference on Embedded and Ubiquitous Computing.
[36] Maziar Goudarzi,et al. Power-yield optimization in MPSoC task scheduling under process variation , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[37] Siddharth Garg,et al. Process variation aware performance modeling and dynamic power management for multi-core systems , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[38] John P. Lehoczky,et al. The rate monotonic scheduling algorithm: exact characterization and average case behavior , 1989, [1989] Proceedings. Real-Time Systems Symposium.
[39] Shinpei Kato,et al. Portioned static-priority scheduling on multiprocessors , 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.
[40] Edward T. Grochowski,et al. Larrabee: A many-Core x86 architecture for visual computing , 2008, 2008 IEEE Hot Chips 20 Symposium (HCS).
[41] Lei He,et al. Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[42] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[43] Alan Burns,et al. Statistical analysis of WCET for scheduling , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).
[44] Liliana Cucu-Grosjean,et al. A Statistical Response-Time Analysis of Real-Time Embedded Systems , 2012, 2012 IEEE 33rd Real-Time Systems Symposium.
[45] M. N. Shanmukha Swamy,et al. Graphs: Theory and Algorithms , 1992 .
[46] Ching-Chih Han,et al. A better polynomial-time schedulability test for real-time fixed-priority scheduling algorithms , 1997, Proceedings Real-Time Systems Symposium.
[47] Jeffrey D. Ullman,et al. Worst-Case Performance Bounds for Simple One-Dimensional Packing Algorithms , 1974, SIAM J. Comput..
[48] Tei-Wei Kuo,et al. Energy-efficient real-time task scheduling with temperature-dependent leakage , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[49] J.A. Stankovic,et al. Misconceptions about real-time computing: a serious problem for next-generation systems , 1988, Computer.
[50] Giorgio C. Buttazzo,et al. Measuring the Performance of Schedulability Tests , 2005, Real-Time Systems.
[51] Ismael Ripoll,et al. Period Selection for Minimal Hyperperiod in Periodic Task Systems , 2013, IEEE Transactions on Computers.
[52] Russ Kahan,et al. Opportunity costs , 1998 .
[53] Yan Zhang,et al. Leakage Aware Feasibility Analysis for Temperature-Constrained Hard Real-Time Periodic Tasks , 2009, 2009 21st Euromicro Conference on Real-Time Systems.
[54] Parameswaran Ramanathan,et al. Real-time computing: a new discipline of computer science and engineering , 1994, Proc. IEEE.
[55] Kevin Skadron,et al. Temperature-Aware Computer Systems: Opportunities and Challenges , 2003, IEEE Micro.
[56] Sachin S. Sapatnekar,et al. Body Bias Voltage Computations for Process and Temperature Compensation , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[57] G. E. Reeves,et al. What Really Happened on Mars , 1998 .
[58] Venkatesh Akella,et al. Credit-based dynamic reliability management using online wearout detection , 2008, CF '08.
[59] Rajashree Shedge,et al. Survey of Real Time Scheduling Algorithms , 2013 .
[60] Liliana Cucu-Grosjean,et al. Re-sampling for statistical timing analysis of real-time systems , 2012, RTNS '12.
[61] Alan Burns,et al. Deadline Monotonic Scheduling Theory , 1992 .
[62] J. Leung,et al. A Note on Preemptive Scheduling of Periodic, Real-Time Tasks , 1980, Inf. Process. Lett..
[63] Björn Andersson,et al. Fixed-priority preemptive multiprocessor scheduling: to partition or not to partition , 2000, Proceedings Seventh International Conference on Real-Time Computing Systems and Applications.
[64] Kelin J. Kuhn,et al. CMOS transistor scaling past 32nm and implications on variation , 2010, 2010 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC).
[65] Björn Andersson,et al. The utilization bounds of partitioned and pfair static-priority scheduling on multiprocessors are 50% , 2003, 15th Euromicro Conference on Real-Time Systems, 2003. Proceedings..
[66] Chenyang Lu,et al. Feedback Thermal Control for Real-time Systems , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.
[67] Ronald L. Graham,et al. Performance Guarantees for Scheduling Algorithms , 1978, Oper. Res..
[68] Dhruva Acharyya,et al. Characterizing within-die and die-to-die delay variations introduced by process variations and SOI history effect , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[69] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[70] Dennis W. Leinbaugh. Guaranteed Response Times in a Hard-Real-Time Environment , 1980, IEEE Transactions on Software Engineering.
[71] Björn Andersson,et al. Response Time Analysis of COTS-Based Multicores Considering the Contention on the Shared Memory Bus , 2011, 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications.
[72] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[73] Jun Yang,et al. Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.
[74] Jun Sun,et al. Probabilistic performance guarantee for real-time tasks with varying computation times , 1995, Proceedings Real-Time Technology and Applications Symposium.
[75] Shinpei Kato,et al. Semi-partitioned Fixed-Priority Scheduling on Multiprocessors , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[76] Francisco J. Cazorla,et al. On the evaluation of the impact of shared resources in multithreaded COTS processors in time-critical environments , 2012, TACO.
[77] Jian-Jia Chen,et al. Analysis Techniques for Supporting Harmonic Real-Time Tasks with Suspensions , 2014, 2014 26th Euromicro Conference on Real-Time Systems.
[78] Gang Quan,et al. Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.
[79] Kaushik Roy,et al. A process variation compensating technique with an on-die leakage current sensor for nanometer scale dynamic circuits , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[80] T. N. Vijaykumar,et al. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.
[81] James W. Layland,et al. Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.
[82] James H. Anderson,et al. A Stochastic Framework for Multiprocessor Soft Real-Time Scheduling , 2010, 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium.
[83] Xiaobo Sharon Hu,et al. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[84] Sébastien Gérard,et al. On the gap between schedulability tests and an automotive task model , 2013, J. Syst. Archit..
[85] Puneet Gupta,et al. Hardware Variability-Aware Duty Cycling for Embedded Sensors , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[86] Kenli Li,et al. Energy-Efficient Stochastic Task Scheduling on Heterogeneous Computing Systems , 2014, IEEE Transactions on Parallel and Distributed Systems.
[87] Xiaowei Li,et al. A greedy approach to tolerate defect cores for multimedia applications , 2011, 2011 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia.
[88] Sudarshan K. Dhall,et al. On a Real-Time Scheduling Problem , 1978, Oper. Res..
[89] Shashi Kumar,et al. A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..
[90] Ding-Ming Kwai,et al. Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization , 2011, 2011 Design, Automation & Test in Europe.
[91] Michael Bedford Taylor,et al. Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse , 2012, DAC Design Automation Conference 2012.
[92] Gang Quan,et al. Harmonic semi-partitioned scheduling for fixed-priority real-time tasks on multi-core platform , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[93] Dorit S. Hochbaum,et al. Approximation Algorithms for NP-Hard Problems , 1996 .
[94] LópezJosé María,et al. Stochastic analysis of real-time systems under preemptive priority-driven scheduling , 2008 .
[95] Brian W. Kernighan,et al. An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..
[96] M. D. Giles,et al. Process Technology Variation , 2011, IEEE Transactions on Electron Devices.
[97] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[98] Sanjoy K. Baruah,et al. Static-priority scheduling on multiprocessors , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).
[99] Liliana Cucu-Grosjean,et al. Response Time Analysis for Fixed-Priority Tasks with Multiple Probabilistic Parameters , 2013, 2013 IEEE 34th Real-Time Systems Symposium.
[100] E.L. Lawler,et al. Optimization and Approximation in Deterministic Sequencing and Scheduling: a Survey , 1977 .
[101] J. Jeffry Howbert,et al. The Maximum Clique Problem , 2007 .
[102] Remzi H. Arpaci-Dusseau. Operating Systems: Three Easy Pieces , 2015, login Usenix Mag..
[103] Azer Bestavros,et al. Statistical rate monotonic scheduling , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).
[104] Alan Burns,et al. A survey of hard real-time scheduling for multiprocessor systems , 2011, CSUR.
[105] Wayne H. Wolf,et al. TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).
[106] David S. Johnson,et al. Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .
[107] Sani R. Nassif,et al. High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.
[108] Aloysius K. Mok,et al. A General Model for Real-Time Tasks , 1996 .
[109] Tei-Wei Kuo,et al. Load adjustment in adaptive real-time systems , 1991, [1991] Proceedings Twelfth Real-Time Systems Symposium.
[110] Meikang Qiu,et al. Throughput maximization for periodic real-time systems under the maximal temperature constraint , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[111] Lothar Thiele,et al. Thermally optimal stop-go scheduling of task graphs with real-time constraints , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[112] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[113] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[114] Sanjoy K. Baruah,et al. Preemptively scheduling hard-real-time sporadic tasks on one processor , 1990, [1990] Proceedings 11th Real-Time Systems Symposium.
[115] Yuan Taur,et al. CMOS design near the limit of scaling , 2002 .
[116] Xiaobo Sharon Hu,et al. Online work maximization under a peak temperature constraint , 2009, ISLPED.
[117] Chun Jason Xue,et al. Profit maximization through process variation aware high level synthesis with speed binning , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[118] Björn Andersson,et al. Real-time scheduling with resource sharing on heterogeneous multiprocessors , 2014, Real-Time Systems.
[119] Siddharth Garg,et al. System-level mitigation of WID leakage power variability using body-bias islands , 2008, CODES+ISSS '08.
[120] R. Schaller,et al. Moore's law: past, present and future , 1997 .
[121] K.J. Kuhn,et al. Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.
[122] Björn Andersson,et al. Global Static-Priority Preemptive Multiprocessor Scheduling with Utilization Bound 38% , 2008, OPODIS.
[123] Xiaowei Li,et al. Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[124] Qiang Xu,et al. Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology , 2008, 2008 Design, Automation and Test in Europe.
[125] Hermann Kopetz,et al. Real-time systems , 2018, CSC '73.
[126] Michael L. Dertouzos,et al. MULTIPROCESSOR SCHEDULING IN A HARD REAL-TIME ENVIRONMENT. , 2017 .
[127] D. Geer,et al. Chip makers turn to multicore processors , 2005, Computer.
[128] Edward G. Coffman,et al. Approximation algorithms for bin packing: a survey , 1996 .
[129] John P. Lehoczky,et al. Partitioned Fixed-Priority Preemptive Scheduling for Multi-core Processors , 2009, 2009 21st Euromicro Conference on Real-Time Systems.
[130] Rolf Ernst,et al. Stochastic response-time guarantee for non-preemptive, fixed-priority scheduling under errors , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[131] Gang Quan,et al. Enhanced Fault-Tolerant Fixed-Priority Scheduling of Hard Real-Time Tasks on Multi-core Platforms , 2015, 2015 IEEE 21st International Conference on Embedded and Real-Time Computing Systems and Applications.
[132] B. Grundmann,et al. From Single Core to Multi-Core: Preparing for a new exponential , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[133] P. Stolk,et al. Modeling statistical dopant fluctuations in MOS transistors , 1998 .
[134] Hermann Kopetz,et al. On the Design of Distributed Time-Triggered Embedded Systems , 2008, J. Comput. Sci. Eng..
[135] Lothar Thiele,et al. Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[136] Gang Quan,et al. Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems , 2010, CIT.
[137] Jane W.-S. Liu,et al. Validating timing constraints in multiprocessor and distributed real-time systems , 1994, 14th International Conference on Distributed Computing Systems.
[138] Lui Sha,et al. Scheduling real-time dwells using tasks with synthetic periods , 2003, RTSS 2003. 24th IEEE Real-Time Systems Symposium, 2003.
[139] Gang Quan,et al. Heterogeneity exploration for peak temperature reduction on multi-core platforms , 2014, Fifteenth International Symposium on Quality Electronic Design.
[140] Kelin Kuhn,et al. Managing Process Variation in Intel’s 45nm CMOS Technology , 2008 .
[141] Koushik Chakraborty,et al. Rethinking Threshold Voltage Assignment in 3D Multicore Designs , 2010, 2010 23rd International Conference on VLSI Design.
[142] Gang Quan,et al. Harmonic-Aware Multi-Core Scheduling for Fixed-Priority Real-Time Systems , 2014, IEEE Transactions on Parallel and Distributed Systems.
[143] Björn Andersson,et al. Provably good multiprocessor scheduling with resource sharing , 2010, Real-Time Systems.
[144] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[145] Xiaowei Li,et al. Hungarian algorithm based virtualization to maintain application timing similarity for defect-tolerant NoC , 2012, 17th Asia and South Pacific Design Automation Conference.
[146] Sarma B. K. Vrudhula,et al. Throughput optimal task allocation under thermal constraints for multi-core processors , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[147] Karam S. Chatha,et al. Approximation algorithm for the temperature-aware scheduling problem , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[148] Alper Demir,et al. Stochastic Modeling and Optimization for Energy Management in Multicore Systems: A Video Decoding Case Study , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[149] Meikang Qiu,et al. Topology Virtualization for Throughput Maximization on Many-Core Platforms , 2012, 2012 IEEE 18th International Conference on Parallel and Distributed Systems.
[150] Patricia Balbastre Betoret,et al. Task period selection to minimize hyperperiod , 2011, ETFA2011.
[151] Narayanan Vijaykrishnan,et al. Variation-Aware Task and Communication Mapping for MPSoC Architecture , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[152] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[153] W. Yi,et al. Fixed-Priority Multiprocessor Scheduling : Beyond Liu & Layland Utilization Bound , 2010 .
[154] Puneet Gupta,et al. Variation-aware speed binning of multi-core processors , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[155] Massoud Pedram,et al. Stochastic modeling of a thermally-managed multi-core system , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[156] Babak Falsafi,et al. Toward Dark Silicon in Servers , 2011, IEEE Micro.
[157] Andy J. Wellings,et al. Using harmonic task-sets to increase the schedulable utilization of cache-based preemptive real-time systems , 1996, Proceedings of 3rd International Workshop on Real-Time Computing Systems and Applications.
[158] Prashant J. Shenoy,et al. Real-time support for mobile robotics , 2003, The 9th IEEE Real-Time and Embedded Technology and Applications Symposium, 2003. Proceedings..
[159] Wang Yi,et al. Parametric Utilization Bounds for Fixed-Priority Multiprocessor Scheduling , 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.
[160] Kenli Li,et al. Scheduling Precedence Constrained Stochastic Tasks on Heterogeneous Cluster Systems , 2015, IEEE Transactions on Computers.
[161] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[162] Sani R. Nassif,et al. Characterizing Process Variation in Nanometer CMOS , 2007, 2007 44th ACM/IEEE Design Automation Conference.