Investigation of NBTI and PBTI induced aging in different LUT implementations

Transistor aging mostly due to Negative and Positive Bias Temperature Instability (NBTI and PBTI) is a major reliability threat for VLSI circuits fabricated in nanometer technology nodes. These phenomena can shift the threshold voltage of transistor over time, increase their delays and cause timing failure and ultimately reduction of lifetime of VLSI chips. As much as FPGAs benefit from the most scaled and advanced technologies, they become susceptible to transistor aging. In this paper, we investigate the effect of transistor aging, due to NBTI and PBTI, in look-up tables (LUTs), by considering different implementations through detailed SPICE simulations. We found out that the delay degradation due to transistor aging depends on the mapped configuration, usage (input signal probability) as well as the specific LUT implementation. Moreover, the specific configuration mapped previously into an LUT has a considerable effect on the delay degradation of the currently used configuration of that LUT. We also found that the all-zero configuration which is normally used as the standby configuration is not the best choice and it may even result in high delay degradation.

[1]  James H. Stathis,et al.  Reliability of advanced high-k/metal-gate n-FET devices , 2010, Microelectron. Reliab..

[2]  Yu Cao,et al.  Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.

[3]  Peter Y. K. Cheung,et al.  Degradation in FPGAs: measurement and modelling , 2010, FPGA '10.

[4]  Yu Cao,et al.  The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Peter Y. K. Cheung,et al.  Degradation Analysis and Mitigation in FPGAs , 2010, 2010 International Conference on Field Programmable Logic and Applications.

[6]  Sachin S. Sapatnekar,et al.  Adaptive Techniques for Overcoming Performance Degradation Due to Aging in CMOS Circuits , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Ching-Te Chuang,et al.  Ring oscillator circuit structures for measurement of isolated NBTI/PBTI effects , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.

[8]  Yu Cao,et al.  Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology , 2007, IEEE Transactions on Device and Materials Reliability.

[9]  Shekhar Y. Borkar,et al.  Tackling variability and reliability challenges , 2006, IEEE Des. Test Comput..

[10]  Hiroshi Iwai Technology roadmap for 22nm and beyond , 2009, 2009 2nd International Workshop on Electron Devices and Semiconductor Technology.

[11]  Josep Torrellas,et al.  Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[12]  Kaushik Roy,et al.  Reliability Implications of Bias-Temperature Instability in Digital ICs , 2009, IEEE Design & Test of Computers.

[13]  C. Cabral,et al.  A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[14]  Peter Y. K. Cheung,et al.  Modelling degradation in FPGA lookup tables , 2009, 2009 International Conference on Field-Programmable Technology.