Reliability-Aware Resource Allocation and Binding in High-Level Synthesis
暂无分享,去创建一个
Liang Chen | Mehdi Baradaran Tahoori | Mojtaba Ebrahimi | M. Tahoori | Mojtaba Ebrahimi | Liang Chen
[1] E. Ibe,et al. Impact of Scaling on Neutron-Induced Soft Error in SRAMs From a 250 nm to a 22 nm Design Rule , 2010, IEEE Transactions on Electron Devices.
[2] Eike Schmidt,et al. Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[3] Sanjit A. Seshia,et al. Verification-Guided Soft Error Resilience , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[4] Niraj K. Jha,et al. Register binding-based RTL power management for control-flow intensive designs , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Daniel D. Gajski,et al. Embedded System Design: Modeling, Synthesis and Verification , 2013 .
[6] R. Leveugle,et al. A New Critical Variable Analysis in Processor-Based Systems , 2009, IEEE Transactions on Nuclear Science.
[7] Christel Baier,et al. Principles of Model Checking (Representation and Mind Series) , 2008 .
[8] Alfred V. Aho,et al. Compilers: Principles, Techniques, & Tools with Gradiance , 2007 .
[9] Shubu Mukherjee,et al. Architecture Design for Soft Errors , 2008 .
[10] Sanghyeon Baeg,et al. SRAM Interleaving Distance Selection With a Soft Error Failure Model , 2009, IEEE Transactions on Nuclear Science.
[11] Jason Cong,et al. Assuring application-level correctness against soft errors , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[12] Kartik Mohanram,et al. Tunable Transient Filters for Soft Error Rate Reduction in Combinational Circuits , 2008, 2008 13th European Test Symposium.
[13] Liang Chen,et al. Event-driven transient error propagation: A scalable and accurate soft error rate estimation approach , 2015, The 20th Asia and South Pacific Design Automation Conference.
[14] L. W. Massengill,et al. Single Event Transients in Digital CMOS—A Review , 2013, IEEE Transactions on Nuclear Science.
[15] Martin Lukasiewycz,et al. Reliability-Aware System Synthesis , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[16] John P. Hayes,et al. Exact and heuristic approaches to input vector control for leakage power reduction , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[17] L. W. Massengill,et al. Impact of Supply Voltage and Frequency on the Soft Error Rate of Logic Circuits , 2013, IEEE Transactions on Nuclear Science.
[18] Hiroyuki Tomiyama,et al. Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis , 2009, J. Inf. Process..
[19] Hai Zhou,et al. Parallel CAD: Algorithm Design and Programming Special Section Call for Papers TODAES: ACM Transactions on Design Automation of Electronic Systems , 2010 .
[20] Eric Cheng,et al. The resilience wall: Cross-layer solution strategies , 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.
[21] Muhammad Shafique,et al. Exploiting program-level masking and error propagation for constrained reliability optimization , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[22] B. L. Bhuva,et al. Comparison of Combinational and Sequential Error Rates for a Deep Submicron Process , 2011, IEEE Transactions on Nuclear Science.
[23] T. Calin,et al. Upset hardened memory design for submicron CMOS technology , 1996 .
[24] Elizabeth M. Rudnick,et al. Enhancing high-level control-flow for improved testability , 1996, Proceedings of International Conference on Computer Aided Design.
[25] Mahmut T. Kandemir,et al. Reliability-centric high-level synthesis , 2005, Design, Automation and Test in Europe.
[26] Ramesh Karri,et al. Fault secure datapath synthesis using hybrid time and hardware redundancy , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[27] Liang Chen,et al. Reliability-aware register binding for control-flow intensive designs , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[28] Carlo Ghezzi,et al. Reliability Analysis of Component-Based Systems with Multiple Failure Modes , 2010, CBSE.
[29] P.N. Sanda,et al. IBM z990 soft error detection and recovery , 2005, IEEE Transactions on Device and Materials Reliability.
[30] Liang Chen,et al. Reliability-aware operation chaining in high level synthesis , 2015, 2015 20th IEEE European Test Symposium (ETS).
[31] Zhiru Zhang,et al. Bitwidth-aware scheduling and binding in high-level synthesis , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[32] Jason Helge Anderson,et al. LegUp: An open-source high-level synthesis tool for FPGA-based processor/accelerator systems , 2013, TECS.
[33] Christel Baier,et al. Principles of model checking , 2008 .
[34] Hessam Kooti,et al. SEU-Aware High-Level Data Path Synthesis and Layout Generation on SRAM-Based FPGAs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[35] Adrian Evans,et al. Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[36] Alan Wood,et al. The impact of new technology on soft error rates , 2011, 2011 International Reliability Physics Symposium.
[37] Giovanni De Micheli,et al. Synthesis and Optimization of Digital Circuits , 1994 .
[38] Marta Z. Kwiatkowska,et al. PRISM 4.0: Verification of Probabilistic Real-Time Systems , 2011, CAV.
[39] Minjoong Rim,et al. Optimal and heuristic algorithms for solving the binding problem , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[40] Vikram S. Adve,et al. LLVM: a compilation framework for lifelong program analysis & transformation , 2004, International Symposium on Code Generation and Optimization, 2004. CGO 2004..
[41] Martin Lukasiewycz,et al. Interactive presentation: Reliability-aware system synthesis , 2007 .
[42] Roger C. Cheung,et al. A User-Oriented Software Reliability Model , 1978, IEEE Transactions on Software Engineering.
[43] Adrian Evans,et al. Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[44] Liang Chen,et al. CEP: Correlated Error Propagation for Hierarchical Soft Error Analysis , 2013, J. Electron. Test..
[45] Hiroyuki Ochi,et al. A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[46] Niraj K. Jha,et al. Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis , 2000, IEEE Trans. Computers.
[47] Aviral Shrivastava,et al. Static Analysis of Register File Vulnerability , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.