Low-Leakage SRAM Wordline Drivers for the 28-nm UTBB FDSOI Technology

This brief deals with a new design of low-power SRAM wordline decoder in the 28-nm ultrathin body and buried oxide (UTBB) fully depleted silicon-on-insulator (FDSOI) technology. The proposed approach synergistically adopts the poly biasing technique in conjunction with single-well/flip-well configurations and body biasing to opportunely tune the threshold voltage of the devices in the standby and active mode. A tuning methodology is described to optimize the static energy consumption. Post-layout simulations, done at power supply voltages ranging between 1 V and 0.5 V, have shown that, in comparison with the state-of-the-art techniques based on the same UTBB FDSOI technology, the proposed design achieves a maximum leakage up to 85% lower without paying significant delay penalties.

[1]  Robin Wilson,et al.  A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization , 2014, IEEE Journal of Solid-State Circuits.

[2]  Bastien Giraud,et al.  SRAM row decoder design for wide voltage range in 28nm UTBB-FDSOI , 2013, 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

[3]  Avesta Sasan,et al.  MZZ-HVS: Multiple Sleep Modes Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On-Chip SRAM Peripheral Circuits , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  N. Planes,et al.  6T SRAM design for wide voltage range in 28nm FDSOI , 2012, 2012 IEEE International SOI Conference (SOI).

[5]  Dieter Fuhrmann,et al.  Logical Effort Designing Fast Cmos Circuits , 2016 .

[6]  Massoud Pedram,et al.  Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology , 2008, IEEE Trans. Very Large Scale Integr. Syst..

[7]  T. Mudge,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

[8]  Massimo Alioto,et al.  Tapered-Vth Approach for Energy-Efficient CMOS Buffers , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[9]  Margaret Martonosi,et al.  Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.

[10]  Philippe Flatresse,et al.  UTBB FD-SOI: A process/design symbiosis for breakthrough energy-efficiency , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Kaushik Roy,et al.  A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Harold Pilo,et al.  A 64Mb SRAM in 22nm SOI technology featuring fine-granularity power gating and low-energy power-supply-partition techniques for 37% leakage reduction , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[13]  Stefania Perri,et al.  Techniques for Leakage Energy Reduction in Deep Submicrometer Cache Memories , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Keith A. Bowman,et al.  Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.