Switching constraint-driven thermal and reliability analysis of Nanometer designs

As process technology continues to shrink, interconnect current densities continue to increase, making it ever more difficult to meet chip reliability targets. For microprocessors in the latest 32nm processes, interconnect wear-out via electromigration is as critical a design parameter, if not more so, as timing, power, and area, and must be planned for from the outset. This paper presents a true three-dimensional thermal analysis in order to accurately transform power dissipation into a temperature profile for more accurate reliability estimation at the level of interconnect metal, via resistors and device fingers. This enhancement to prior electromigration analysis flows was a critical enabling technology for deep sub-micron microprocessor design, and will prove only more essential as process technology continues to shrink, and electromigration constraints become ever more restrictive. In addition, the thermal analysis enabled better prediction of device reliability, which we can now calculate and measure the impact of, at the block-level.

[1]  Sung-Mo Kang,et al.  Hierarchical electromigration reliability diagnosis for VLSI interconnects , 1996, 33rd Design Automation Conference Proceedings, 1996.

[2]  R. J. Strain,et al.  On the Formation of Surface States during Stress Aging of Thermal Si ‐ SiO2 Interfaces , 1973 .

[3]  Kaustav Banerjee,et al.  Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Rajendran Panda,et al.  Static electromigration analysis for signal interconnects , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

[5]  Peng Fang,et al.  Circuit reliability simulator for interconnect, via, and contact electromigration , 1992 .

[6]  Frank Cano,et al.  A practical approach to static signal electromigration analysis , 1998, DAC.

[7]  W. Hunter,et al.  AC electromigration characterization and modeling of multilayered interconnects , 1993, 31st Annual Proceedings Reliability Physics 1993.

[8]  Chen Ih-Chin,et al.  The effect of channel hot-carrier stressing on gate-oxide integrity in MOSFETs , 1988 .

[9]  Steffen Rochel,et al.  Full-chip signal interconnect analysis for electromigration reliability , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[10]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Li Shang,et al.  Multiscale Thermal Analysis for Nanometer-Scale Integrated Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  I. Blech Electromigration in thin aluminum films on titanium nitride , 1976 .

[13]  C. Hu,et al.  A unified gate oxide reliability model , 1999, 1999 IEEE International Reliability Physics Symposium Proceedings. 37th Annual (Cat. No.99CH36296).

[14]  J. Kitchin,et al.  Statistical electromigration budgeting for reliable design and verification in a 300-MHz microprocessor , 1995, Digest of Technical Papers., Symposium on VLSI Circuits..

[15]  S. Narasimha,et al.  High Performance 45-nm SOI Technology with Enhanced Strain, Porous Low-k BEOL, and Immersion Lithography , 2006, 2006 International Electron Devices Meeting.

[16]  D. Hwang,et al.  Ultra-thin gate dielectrics: they break down, but do they fail? , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[17]  J. Black Mass Transport of Aluminum by Momentum Exchange with Conducting Electrons , 1967 .