Edge Intelligence—On the Challenging Road to a Trillion Smart Connected IoT Devices
暂无分享,去创建一个
[1] C. Hu,et al. Improved Subthreshold Swing and Short Channel Effect in FDSOI n-Channel Negative Capacitance Field Effect Transistors , 2018, IEEE Electron Device Letters.
[2] Suhwan Kim,et al. A cm-scale self-powered intelligent and secure IoT edge mote featuring an ultra-low-power SoC in 14nm tri-gate CMOS , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[3] Hong Wang,et al. Loihi: A Neuromorphic Manycore Processor with On-Chip Learning , 2018, IEEE Micro.
[4] R. Sporer,et al. 14nm Ferroelectric FinFET technology with steep subthreshold slope for ultra low power applications , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[5] S. Datta,et al. In-Memory Computing Primitive for Sensor Data Fusion in 28 nm HKMG FeFET Technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).
[6] Chenming Hu,et al. Compact models of negative-capacitance FinFETs: Lumped and distributed charge models , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).
[7] Hiroki Nakahara,et al. New Generation Dynamically Reconfigurable Processor Technology for Accelerating Embedded AI Applications , 2018, 2018 IEEE Symposium on VLSI Circuits.
[8] R. Augur,et al. Architecting advanced technologies for 14nm and beyond with 3D FinFET transistors for the future SoC applications , 2011, 2011 International Electron Devices Meeting.
[9] Sergei V. Kalinin,et al. Ferroelectric hafnium oxide: A CMOS-compatible and highly scalable approach to future ferroelectric memories , 2013, 2013 IEEE International Electron Devices Meeting.
[10] Jae-sun Seo,et al. XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks , 2018, 2018 IEEE Symposium on VLSI Technology.
[11] Kaushik Roy,et al. Intrinsic leakage in low power deep submicron CMOS ICs , 1997, Proceedings International Test Conference 1997.
[12] Brandon Lucia,et al. A Reconfigurable Energy Storage Architecture for Energy-harvesting Devices , 2018, ASPLOS.
[13] J. Kittl,et al. SoC Logic Compatible Multi-Bit FeMFET Weight Cell for Neuromorphic Applications , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).
[14] Steven R. Young,et al. A 1 TOPS/W Analog Deep Machine-Learning Engine With Floating-Gate Storage in 0.13 µm CMOS , 2014, IEEE Journal of Solid-State Circuits.
[15] P. Debevec. Keynote Talk , 2007, 2007 IEEE Conference on Computer Vision and Pattern Recognition.
[16] Peter M. Kogge,et al. A parallel processing chip with embedded DRAM macros , 1996, IEEE J. Solid State Circuits.
[17] Chewn-Pu Jou,et al. High-performance integrated fan-out wafer level packaging (InFO-WLP): Technology and system integration , 2012, 2012 International Electron Devices Meeting.
[18] M. Trentzsch,et al. A 28nm HKMG super low power embedded NVM technology based on ferroelectric FETs , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).
[19] Marian Verhelst,et al. An always-on 3.8μJ/86% CIFAR-10 mixed-signal binary CNN processor with all memory on chip in 28nm CMOS , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[20] Highly Reliable Ferroelectric Hf0.5Zr0.5O2 Film with Al Nanoclusters Embedded by Novel Sub-Monolayer Doping Technique , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).
[21] Lothar Frey,et al. Ferroelectricity in Simple Binary ZrO2 and HfO2. , 2012, Nano letters.
[22] Arijit Raychowdhury,et al. A 55nm time-domain mixed-signal neuromorphic accelerator with stochastic synapses and embedded reinforcement learning for autonomous micro-robots , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[23] Shreyas Sen,et al. Invited: Context-aware energy-efficient communication for IoT sensor nodes , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[24] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[25] Shimeng Yu,et al. Ferroelectric FET analog synapse for acceleration of deep neural network training , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[26] B. Rogers,et al. IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY , 2013 .
[27] Vinayak Honkote,et al. An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
[28] David Blaauw,et al. Analog in-memory subthreshold deep neural network accelerator , 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).
[29] Shreyas Sen,et al. Self-Optimizing IoT Wireless Video Sensor Node With In-Situ Data Analytics and Context-Driven Energy-Aware Real-Time Adaptation , 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.
[30] Demis Hassabis,et al. A general reinforcement learning algorithm that masters chess, shogi, and Go through self-play , 2018, Science.
[31] Michael J. Behe,et al. The edge of intelligence , 2009 .
[32] D. Hisamoto,et al. First demonstration of FinFET split-gate MONOS for high-speed and highly-reliable embedded flash in 16/14nm-node and beyond , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).
[33] Venkat Srinivasan,et al. Resource constraints on the battery energy storage potential for grid and transportation applications , 2011 .
[34] S. Datta,et al. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. , 2008, Nano letters.
[35] Ali M. Niknejad,et al. A power-harvesting pad-less mm-sized 24/60GHz passive radio with on-chip antennas , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[36] Amin Arbabian,et al. A 14.5mm2 8nW −59.7dBm-sensitivity ultrasonic wake-up receiver for power-, area-, and interference-constrained applications , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).