Automated generation of layout and control for quantum circuits

We present a computer-aided design flow for quantum circuits, complete with automatic layout and control logic extraction. To motivate automated layout for quantum circuits, we investigate grid-based layouts and show a performance variance of four times as we vary grid structure and initial qubit placement. We then propose two polynomial-time design heuristics: a greedy algorithm suitable for small, congestion-free quantum circuits and a dataflow-based analysis approach to placement and routing with implicit initial placement of qubits. Finally, we show that our dataflow-based heuristic generates better layouts than the state-of-the-art automated grid-based layout and scheduling mechanism in terms of latency and potential pipelinability, but at the cost of some area.

[1]  W. Wootters,et al.  A single quantum cannot be cloned , 1982, Nature.

[2]  C. Monroe,et al.  Architecture for a large-scale ion-trap quantum computer , 2002, Nature.

[3]  Alfred V. Aho,et al.  Toward a Software Architecture for Quantum Computing Design Tools , 2004 .

[4]  L. Deslauriers,et al.  T-junction ion trap array for two-dimensional ion shuttling, storage, and manipulation , 2005, quant-ph/0508097.

[5]  Lov K. Grover A fast quantum mechanical algorithm for database search , 1996, STOC '96.

[6]  D. Stick,et al.  Planar ion trap geometry for microfabrication , 2004 .

[7]  Peter W. Shor,et al.  Polynomial-Time Algorithms for Prime Factorization and Discrete Logarithms on a Quantum Computer , 1995, SIAM Rev..

[8]  Andrew W. Cross,et al.  A quantum logic array microarchitecture: scalable quantum data movement and computation , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

[9]  Alfred V. Aho,et al.  A layered software architecture for quantum computing design tools , 2006, Computer.

[10]  Christof Zalka Simulating quantum systems on a quantum computer , 1996, Proceedings of the Royal Society of London. Series A: Mathematical, Physical and Engineering Sciences.

[11]  Dmitri Maslov,et al.  Quantum Circuit Placement: Optimizing Qubit-to-qubit Interactions through Mapping Quantum Circuits into a Physical Experiment , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[12]  Frederic T. Chong,et al.  Quantum Memory Hierarchies: Efficient Designs to Match Available Parallelism in Quantum Computing , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[13]  A. Steane Overhead and noise threshold of fault-tolerant quantum error correction , 2002, quant-ph/0207119.

[14]  Frederic T. Chong,et al.  Scheduling physical operations in a quantum information processor , 2006, SPIE Defense + Commercial Sensing.

[15]  Steane,et al.  Simple quantum error-correcting codes. , 1996, Physical review. A, Atomic, molecular, and optical physics.

[16]  Robert M. Jopson,et al.  System design for large-scale ion trap quantum information processor , 2005, Quantum Inf. Comput..

[17]  K. R. Brown,et al.  Experimental investigation of planar ion traps , 2005, quant-ph/0511018.

[18]  King,et al.  Demonstration of a fundamental quantum logic gate. , 1995, Physical review letters.

[19]  Mark Oskin,et al.  QUALE: quantum architecture layout evaluator , 2005, SPIE Defense + Commercial Sensing.

[20]  Chong-Min Kyung,et al.  Adaptive cluster growth (ACG); a new algorithm for circuit packing in rectilinear region , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[21]  J. Cirac,et al.  Quantum Computations with Cold Trapped Ions. , 1995, Physical review letters.

[22]  Mark Oskin,et al.  An evaluation framework and instruction set architecture for ion-trap based quantum micro-architectures , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[23]  John Preskill,et al.  Quantum accuracy threshold for concatenated distance-3 codes , 2006, Quantum Inf. Comput..

[24]  David Hucul,et al.  On the transport of atomic ions in linear and multidimensional ion trap arrays , 2007, Quantum information & computation.

[25]  John Kubiatowicz,et al.  Interconnection Networks for Scalable Quantum Computers , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).