Concurrent and consistent virtual machine introspection with hardware transactional memory
暂无分享,去创建一个
Yutao Liu | Yubin Xia | Haibo Chen | Binyu Zang | Haibing Guan | Haibing Guan | Haibo Chen | B. Zang | Yubin Xia | Yutao Liu
[1] Ravi Rajwar,et al. Speculative lock elision: enabling highly concurrent multithreaded execution , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[2] Xuxian Jiang,et al. Process Implanting: A New Active Introspection Framework for Virtualization , 2011, 2011 IEEE 30th International Symposium on Reliable Distributed Systems.
[3] Xuxian Jiang,et al. Countering kernel rootkits with lightweight hook protection , 2009, CCS.
[4] Tal Garfinkel,et al. A Virtual Machine Introspection Based Architecture for Intrusion Detection , 2003, NDSS.
[5] Milo M. K. Martin,et al. Making the fast case common and the uncommon case simple in unbounded transactional memory , 2007, ISCA '07.
[6] Yuanyuan Zhou,et al. SafeMem: exploiting ECC-memory for detecting memory leaks and memory corruption during production runs , 2005, 11th International Symposium on High-Performance Computer Architecture.
[7] Arati Baliga,et al. Monitoring Data Structures Using Hardware Transactional Memory , 2011, RV.
[8] Michael Burrows,et al. Eraser: a dynamic data race detector for multithreaded programs , 1997, TOCS.
[9] Ruby B. Lee,et al. Architectural support for hypervisor-secure virtualization , 2012, ASPLOS XVII.
[10] Zhi Wang,et al. DKSM: Subverting Virtual Machine Introspection for Fun and Profit , 2010, 2010 29th IEEE Symposium on Reliable Distributed Systems.
[11] Yutao Liu,et al. Architecture support for guest-transparent VM protection from untrusted hypervisor and physical attacks , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[12] Binyu Zang,et al. From Speculation to Security: Practical and Efficient Information Flow Tracking Using Speculative Hardware , 2008, 2008 International Symposium on Computer Architecture.
[13] Ramesh Karri,et al. NumChecker: Detecting kernel control-flow modifying rootkits by using Hardware Performance Counters , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[14] Xuxian Jiang,et al. Stealthy malware detection through vmm-based "out-of-the-box" semantic view reconstruction , 2007, CCS '07.
[15] Yangchun Fu,et al. Space Traveling across VM: Automatically Bridging the Semantic Gap in Virtual Machine Introspection via Online Kernel Data Redirection , 2012, 2012 IEEE Symposium on Security and Privacy.
[16] David A. Wood,et al. LogTM-SE: Decoupling Hardware Transactional Memory from Caches , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[17] Yutao Liu,et al. CFIMon: Detecting violation of control flow integrity using performance counters , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).
[18] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[19] Haibo Chen,et al. Control flow obfuscation with information flow tracking , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[20] Wenke Lee,et al. Secure and Flexible Monitoring of Virtual Machines , 2007, Twenty-Third Annual Computer Security Applications Conference (ACSAC 2007).
[21] Yangchun Fu,et al. EXTERIOR: using a dual-VM based external shell for guest-OS introspection, configuration, and recovery , 2013, VEE '13.
[22] Zhi Wang,et al. Process out-grafting: an efficient "out-of-VM" approach for fine-grained process execution monitoring , 2011, CCS '11.
[23] Maurice Herlihy,et al. Transactional Memory: Architectural Support For Lock-free Data Structures , 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.
[24] Brian Hay,et al. Forensics examination of volatile system data using virtual introspection , 2008, OPSR.
[25] Adrian Perrig,et al. SecVisor: a tiny hypervisor to provide lifetime kernel code integrity for commodity OSes , 2007, SOSP.
[26] No License,et al. Intel ® 64 and IA-32 Architectures Software Developer ’ s Manual Volume 3 A : System Programming Guide , Part 1 , 2006 .
[27] Bradley C. Kuszmaul,et al. Unbounded Transactional Memory , 2005, HPCA.
[28] Christoforos E. Kozyrakis,et al. Thread-safe dynamic binary translation using transactional memory , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[29] Liviu Iftode,et al. Enforcing authorization policies using transactional memory introspection , 2008, CCS.
[30] Dan Grossman,et al. ASF: AMD64 Extension for Lock-Free Data Structures and Transactional Memory , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[31] Donald E. Porter,et al. MetaTM/TxLinux: Transactional Memory for an Operating System , 2008, IEEE Micro.
[32] Emmett Witchel,et al. Ensuring operating system kernel integrity with OSck , 2011, ASPLOS XVI.
[33] Wenke Lee,et al. Lares: An Architecture for Secure Active Monitoring Using Virtualization , 2008, 2008 IEEE Symposium on Security and Privacy (sp 2008).
[34] Jonathon T. Giffin,et al. 2011 IEEE Symposium on Security and Privacy Virtuoso: Narrowing the Semantic Gap in Virtual Machine Introspection , 2022 .
[35] Marc Tremblay,et al. Rock: A High-Performance Sparc CMT Processor , 2009, IEEE Micro.
[36] Maged M. Michael,et al. Robust architectural support for transactional memory in the power architecture , 2013, ISCA.
[37] Haibo Chen,et al. CloudVisor: retrofitting protection of virtual machines in multi-tenant cloud with nested virtualization , 2011, SOSP.
[38] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[39] David A. Wood,et al. A Case for Deconstructing Hardware Transactional Memory Systems , 2007, Programming Models for Ubiquitous Parallelism.
[40] A. Kivity,et al. kvm : the Linux Virtual Machine Monitor , 2007 .
[41] Changzhen Hu,et al. Kruiser: Semi-synchronized Non-blocking Concurrent Kernel Heap Buffer Overflow Monitoring , 2012, NDSS.