Neuromorphic system design and application

With the booming of large scale data related applications, cognitive systems that leverage modern data processing technologies, e.g., machine learning and data mining, are widely used in various industry fields. These application bring challenges to conventional computer systems on both semiconductor manufacturing and computing architecture. The invention of neuromorphic computing system (NCS) is inspired by the working mechanism of human-brain. It is a promising architecture to combat the well-known memory bottleneck in Von Neumann architecture. The recent breakthrough on memristor devices and crossbar structure made an important step toward realizing a low-power, small-footprint NCS on-a-chip. However, the currently low manufacturing reliability of nano-devices and circuit level constrains, .e.g., the voltage IR-drop along metal wires and analog signal noise from the peripheral circuits, bring challenges on scalability, precision and robustness of memristor crossbar based NCS. In this dissertation, we quantitatively analyzed the robustness of memristor crossbar based NCS when considering the device process variations, signal fluctuation and IR-drop. Based on our analysis, we will explore deep understanding on hardware training methods, e.g., on-device training and off-device training. Then, new technologies, e.g., noise-eliminating training, variation-aware training and adaptive mapping, specifically designed to improve the training quality on memristor crossbar hardware will be proposed in this dissertation. A digital initialization step for hardware training is also introduced to reduce training time. The circuit level constrains will also limit the scalability of a single memristor crossbar, which will decrease the efficiency of implementation of NCS. We also leverage system reduction/compression techniques to reduce the required crossbar size for certain applications. Besides, running machine learning algorithms on embedded systems bring new security concerns to the service providers and the users. In this dissertation, we will first explore the security concerns by using examples from real applications. These examples will demonstrate how attackers can access confidential user data, replicate a sensitive data processing model without any access to model details and how expose some key features of training data by using the service as a normal user. Based on our understanding of these security concerns, we will use unique property of memristor device to build a secured NCS.

[1]  Jacques-Olivier Klein,et al.  Hight fault tolerance in neural crossbar , 2010, 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era.

[2]  Domenic Forte,et al.  Memristor PUF—A Security Primitive: Theory and Experiment , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[3]  Teresa Riesgo,et al.  Reconfigurable Hardware Architecture of a Shape Recognition System Based on Specialized Tiny Neural Networks With Online Training , 2009, IEEE Transactions on Industrial Electronics.

[4]  Farnood Merrikh-Bayat,et al.  Memristor Crossbar-Based Hardware Implementation of the IDS Method , 2010, IEEE Transactions on Fuzzy Systems.

[5]  Pengtao Xie,et al.  Crypto-Nets: Neural Networks over Encrypted Data , 2014, ArXiv.

[6]  Yiran Chen,et al.  Reconfigurable Neuromorphic Computing System with Memristor-Based Synapse Design , 2013, Neural Processing Letters.

[7]  Norman P. Jouppi,et al.  Heterogeneous chip multiprocessors , 2005, Computer.

[8]  Cong Xu,et al.  Impact of process variations on emerging memristor , 2010, Design Automation Conference.

[9]  Guilherme N. DeSouza,et al.  GPU-based simulation of cellular neural networks for image processing , 2009, 2009 International Joint Conference on Neural Networks.

[10]  Sylvie Renaud,et al.  A $Q$ -Modification Neuroadaptive Control Architecture for Discrete-Time Systems , 2010 .

[11]  Igor V. Tetko,et al.  Neural network studies, 1. Comparison of overfitting and overtraining , 1995, J. Chem. Inf. Comput. Sci..

[12]  Kaushik Roy,et al.  Ultra low power associative computing with spin neurons and resistive crossbar memory , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[13]  Gaël Varoquaux,et al.  Scikit-learn: Machine Learning in Python , 2011, J. Mach. Learn. Res..

[14]  Gene H. Golub,et al.  Singular value decomposition and least squares solutions , 1970, Milestones in Matrix Computation.

[15]  Paul Dimo,et al.  Nodal analysis of power systems , 1975 .

[16]  Jiale Liang,et al.  Cross-Point Memory Array Without Cell Selectors—Device Characteristics and Data Storage Pattern Dependencies , 2010, IEEE Transactions on Electron Devices.

[17]  Yusuf Sinan Akgül,et al.  A machine learning system for human-in-the-loop video surveillance , 2012, Proceedings of the 21st International Conference on Pattern Recognition (ICPR2012).

[18]  Zhigang Zeng,et al.  Exponential stability analysis of memristor-based recurrent neural networks with time-varying delays , 2012, Neurocomputing.

[19]  J J Hopfield,et al.  Neural networks and physical systems with emergent collective computational abilities. , 1982, Proceedings of the National Academy of Sciences of the United States of America.

[20]  Shimeng Yu,et al.  Modeling the switching dynamics of programmable-metallization-cell (PMC) memory and its application as synapse device for a neuromorphic computation system , 2010, 2010 International Electron Devices Meeting.

[21]  Wei Zhang,et al.  Digital-assisted noise-eliminating training for memristor crossbar-based analog neuromorphic computing engine , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[22]  Miodrag Potkonjak,et al.  Nano-PPUF: A Memristor-Based Security Primitive , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[23]  Gert Cauwenberghs,et al.  Analog VLSI Biophysical Neurons and Synapses With Programmable Membrane Channel Kinetics , 2010, IEEE Transactions on Biomedical Circuits and Systems.

[24]  L. Chua Memristor-The missing circuit element , 1971 .

[25]  Massimiliano Di Ventra,et al.  Experimental demonstration of associative memory with memristive neural networks , 2009, Neural Networks.

[26]  Farnood Merrikh-Bayat,et al.  Programming of memristor crossbars by using genetic algorithm , 2011, WCIT.

[27]  Nathan Halko,et al.  Finding Structure with Randomness: Probabilistic Algorithms for Constructing Approximate Matrix Decompositions , 2009, SIAM Rev..

[28]  Wei Lu,et al.  Short-term Memory to Long-term Memory Transition in a Nanoscale Memristor , 2022 .

[29]  T. Hasegawa,et al.  Learning Abilities Achieved by a Single Solid‐State Atomic Switch , 2010, Advanced materials.

[30]  Stylianos Siskos,et al.  Precision Multi-Input Current Comparator and Its Application to Analog Median Filter Implementation , 2003 .

[31]  L. Deng,et al.  The MNIST Database of Handwritten Digit Images for Machine Learning Research [Best of the Web] , 2012, IEEE Signal Processing Magazine.

[32]  A. Asenov,et al.  Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .

[33]  Qing Wu,et al.  Hardware realization of BSB recall function using memristor crossbar arrays , 2012, DAC Design Automation Conference 2012.

[34]  W. Lu,et al.  High-density Crossbar Arrays Based on a Si Memristive System , 2008 .

[35]  Y. Pershin,et al.  Spin Memristive Systems: Spin Memory Effects in Semiconductor Spintronics , 2008, 0806.2151.

[36]  Yiran Chen,et al.  BSB training scheme implementation on memristor-based circuit , 2013, 2013 IEEE Symposium on Computational Intelligence for Security and Defense Applications (CISDA).

[37]  K. D. Cantley,et al.  Hebbian Learning in Spiking Neural Networks With Nanocrystalline Silicon TFTs and Memristive Synapses , 2011, IEEE Transactions on Nanotechnology.

[38]  J. Yang,et al.  A compact modeling of TiO2-TiO2–x memristor , 2013 .

[39]  Shimeng Yu,et al.  Investigating the switching dynamics and multilevel capability of bipolar metal oxide resistive switching memory , 2011 .

[40]  Yiran Chen,et al.  Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[41]  Yiran Chen,et al.  Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement , 2008, 2008 45th ACM/IEEE Design Automation Conference.