ECO-System: Embracing the Change in Placement

In a realistic design flow, circuit and system optimizations must interact with physical aspects of the design. For example, improvements in timing and power may require the replacement of large modules with variants that have different power/delay tradeoff, shape, and connectivity. New logic may be added late in the design flow, which is subject to interconnect optimization. To support such flexibility in design flows, we develop a robust system in performing Engineering Change Orders (ECOs). In contrast with the existing stand-alone tools that offer poor interfaces to the design flow and cannot handle a full range of modern very large scale integration layouts, our ECO-system reliably handles fixed objects and movable macros in instances with widely varying amounts of whitespace. It detects geometric regions and sections of the netlist that require modification and applies an adequate amount of change in each case. Given a reasonable initial placement, it applies minimal changes but is capable of replacing large regions to handle pathological cases. The ECO-system can be used in the range from high-level synthesis to physical synthesis and detail placement.

[1]  Yao-Wen Chang,et al.  NTUplace2: a hybrid placer using partitioning and analytical techniques , 2006, ISPD '06.

[2]  Leon Stok,et al.  Transformational placement and synthesis , 2000, DATE '00.

[3]  David Z. Pan,et al.  Computational geometry based placement migration , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[4]  Jason Cong,et al.  Incremental physical design , 2000, ISPD '00.

[5]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[6]  Jarrod A. Roy,et al.  Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Jarrod A. Roy,et al.  ECO-system: Embracing the Change in Placement , 2007 .

[9]  Andrew B. Kahng,et al.  Optimal partitioners and end-case placers for standard-cell layout , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Jason Cong,et al.  A robust detailed placement for mixed-size IC designs , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[11]  Igor L. Markov,et al.  On whitespace and stability in physical synthesis , 2006, Integr..

[12]  Cheng-Kok Koh,et al.  Mixed block placement via fractional cut recursive bisection , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[14]  Gi-Joon Nam,et al.  Placement stability metrics , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[15]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.

[16]  Yao-Wen Chang,et al.  IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[17]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[18]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[19]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, ICCAD 2004.

[20]  Igor L. Markov,et al.  Constraint-driven floorplan repair , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[21]  Qiang Zhou,et al.  Multi-stage Detailed Placement Algorithm for Large-Scale Mixed-Mode Layout Design , 2005, ICCSA.

[22]  Joseph R. Shinnerl,et al.  mPL6: enhanced multilevel mixed-size placement , 2006, ISPD '06.

[23]  Chris C. N. Chu,et al.  An efficient and effective detailed placement algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[24]  Chris C. N. Chu,et al.  FastPlace 2.0: an efficient analytical placer for mixed-mode designs , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[25]  Andrew B. Kahng,et al.  On mismatches between incremental optimizers and instance perturbations in physical design tools , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[26]  Jarrod A. Roy,et al.  Min-cut floorplacement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Igor L. Markov,et al.  Consistent placement of macro-blocks using floorplanning and standard-cell placement , 2002, ISPD '02.

[28]  Gi-Joon Nam,et al.  The ISPD2005 placement contest and benchmark suite , 2005, ISPD '05.

[29]  David Z. Pan,et al.  Diffusion-based placement migration , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[30]  Jason Cong,et al.  Multilevel global placement with congestion control , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[31]  Chandramouli V. Kashyap,et al.  A two moment RC delay metric for performance optimization , 2000, ISPD '00.

[32]  Jarrod A. Roy,et al.  Unification of partitioning, placement and floorplanning , 2004, ICCAD 2004.

[33]  Jens Vygen,et al.  Almost optimum placement legalization by minimum cost flow and dynamic programming , 2004, ISPD '04.

[34]  Jason Cong,et al.  Multi-level placement for large-scale mixed-size IC designs , 2003, ASP-DAC '03.

[35]  Marios C. Papaefthymiou,et al.  Parallelizing post-placement timing optimization , 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

[36]  Jens Vygen,et al.  Legalizing a placement with minimum total movement , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[37]  Jarrod A. Roy,et al.  Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[38]  Cheng-Kok Koh,et al.  Floorplan management: incremental placement for gate sizing and buffer insertion , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[39]  Igor L. Markov,et al.  Solving hard instances of floorplacement , 2006, ISPD '06.

[40]  Joseph R. Shinnerl,et al.  Robust mixed-size placement under tight white-space constraints , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[41]  Jarrod A. Roy,et al.  Satisfying whitespace requirements in top-down placement , 2006, ISPD '06.

[42]  Konrad Doll,et al.  Iterative placement improvement by network flow methods , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[43]  Chris C. N. Chu,et al.  Fast and accurate rectilinear steiner minimal tree algorithm for VLSI design , 2005, ISPD '05.

[44]  Andrew B. Kahng,et al.  Evaluation of placer suboptimality via zero-change netlist transformations , 2005, ISPD '05.