Exploiting Bus Level and Bit Level Inactivity for Preventing Wire Degradation due to Electromigration
暂无分享,去创建一个
[1] Jiang Tao,et al. Modeling and characterization of electromigration failures under bidirectional current stress , 1996 .
[2] Xiangdong Xuan,et al. Analysis and design of reliable mixed-signal CMOS circuits , 2004 .
[3] Osman S. Unsal,et al. Refueling: Preventing Wire Degradation due to Electromigration , 2008, IEEE Micro.
[4] R. Brook,et al. Cumulative Damage in Fatigue: A Step towards Its Understanding , 1969 .
[5] Hongwei Liu,et al. Compacting register file via 2-level renaming and bit-partitioning , 2007, Microprocess. Microsystems.
[6] Shuai Wang,et al. Asymmetrically Banked Value-Aware Register Files , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).
[7] J. Black. Electromigration failure modes in aluminum metallization for semiconductor devices , 1969 .
[8] Z. SUO. Stable state of interconnect under temperature change and electric current , 1998 .
[9] Mateo Valero,et al. A content aware integer register file organization , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[10] J. Black,et al. Electromigration—A brief survey and some recent results , 1969 .
[11] David Blaauw,et al. Reliability modeling and management in dynamic microprocessor-based systems , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[12] Jens Lienig,et al. Embedded Tutorial: Electromigration-Aware Physical Design of Integrated Circuits , 2005 .
[13] Ramesh Karri,et al. Electromigration reliability enhancement via bus activity distribution , 1996, DAC '96.
[14] Kanad Ghose,et al. Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[15] C. Hu,et al. Electromigration interconnect lifetime under AC and pulse DC stress , 1989, 27th Annual Proceedings., International Reliability Physics Symposium.
[16] F. d'Heurle. Electromigration and failure in electronics: An introduction , 1971 .
[17] Osman S. Unsal,et al. Reducing Soft Errors through Operand Width Aware Policies , 2009, IEEE Transactions on Dependable and Secure Computing.
[18] David J. Sager,et al. The microarchitecture of the Pentium 4 processor , 2001 .
[19] Hiroshi Nakamura,et al. A small, fast and low-power register file by bit-partitioning , 2005, 11th International Symposium on High-Performance Computer Architecture.
[20] P.S. Ho. Basic Problems for Electromigration in VLSI Applications , 1982, 20th International Reliability Physics Symposium.
[21] Cher Ming Tan,et al. Electromigration in ULSI Interconnections , 2010, International Series on Advances in Solid State Electronics and Technology.
[22] Chenming Hu,et al. High-current failure model for VLSI interconnects under short-pulse stress conditions , 1997, IEEE Electron Device Letters.
[23] Oguz Ergin,et al. Exploiting narrow values for faster parity generation , 2009 .
[24] E. S. Meieran,et al. DIRECT TRANSMISSION ELECTRON MICROSCOPE OBSERVATION OF ELECTROTRANSPORT IN ALUMINUM THIN FILMS , 1967 .
[25] Chenming Hu,et al. Projecting interconnect electromigration lifetime for arbitrary current waveforms , 1990 .