Adaptive frequency scaling strategy to improve energy efficiency in a tick-less Operating System for resource-constrained embedded devices

[1]  Wei Liu,et al.  Adaptive power management using reinforcement learning , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[2]  Yunhao Liu,et al.  Sea Depth Measurement with Restricted Floating Sensors , 2007, 28th IEEE International Real-Time Systems Symposium (RTSS 2007).

[3]  Tao Zhang,et al.  Fog and IoT: An Overview of Research Opportunities , 2016, IEEE Internet of Things Journal.

[4]  Giuseppe Lipari,et al.  Minimizing CPU energy in real-time systems with discrete speed management , 2009, TECS.

[5]  Dakai Zhu,et al.  Reliability-Aware Energy Management for Periodic Real-Time Tasks , 2009, IEEE Trans. Computers.

[6]  Bernhard Rinner,et al.  Online learning of timeout policies for dynamic power management , 2014, ACM Trans. Embed. Comput. Syst..

[7]  Erik Elmroth,et al.  Power-performance tradeoffs in data center servers: DVFS, CPU pinning, horizontal, and vertical scaling , 2018, Future Gener. Comput. Syst..

[8]  R. Simmons,et al.  The effect of representation and knowledge on goal-directed exploration with reinforcement-learning algorithms , 2004, Machine Learning.

[9]  Sherali Zeadally,et al.  Offloading in fog computing for IoT: Review, enabling technologies, and research opportunities , 2018, Future Gener. Comput. Syst..

[10]  Peter Dayan,et al.  Q-learning , 1992, Machine Learning.

[11]  Gabriel Antoniu,et al.  Governing energy consumption in Hadoop through CPU frequency scaling: An analysis , 2016, Future Gener. Comput. Syst..

[12]  Krishnan Srinivasan,et al.  Integer linear programming and heuristic techniques for system-level low power scheduling on multiprocessor architectures under throughput constraints , 2007, Integr..

[13]  Yousaf Bin Zikria,et al.  Internet of Things (IoT): Operating System, Applications and Protocols Design, and Validation Techniques , 2018, Future Gener. Comput. Syst..

[14]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[15]  Peter Dayan,et al.  Technical Note: Q-Learning , 2004, Machine Learning.

[16]  Daniel P. Siewiorek,et al.  Nonideal battery and main memory effects on CPU speed-setting for low power , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[17]  Luca Benini,et al.  A survey of design techniques for system-level dynamic power management , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[18]  Giorgio C. Buttazzo,et al.  Energy-Aware Scheduling for Real-Time Systems , 2016, ACM Trans. Embed. Comput. Syst..

[19]  Weisong Shi,et al.  Edge Computing: Vision and Challenges , 2016, IEEE Internet of Things Journal.

[20]  W. Dargie,et al.  Dynamic Power Management in Wireless Sensor Networks: State-of-the-Art , 2012, IEEE Sensors Journal.

[21]  Tajana Simunic,et al.  System-Level Power Management Using Online Learning , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Roberto Rodriguez-Zurrunero,et al.  Methods for Lowering the Power Consumption of OS-Based Adaptive Deep Brain Stimulation Controllers , 2021, Sensors.

[23]  Rami G. Melhem,et al.  Power-aware scheduling for periodic real-time tasks , 2004, IEEE Transactions on Computers.

[24]  José-Enrique Simó-Ten,et al.  High performance dynamic voltage/frequency scaling algorithm for real-time dynamic load management , 2012, J. Syst. Softw..