Miniaturization of CMOS

When the international technology roadmap of semiconductors (ITRS) started almost five decades ago, the metal oxide effect transistor (MOSFET) as units in integrated circuits (IC) continuously miniaturized. The transistor structure has radically changed from its original planar 2D architecture to today’s 3D Fin field-effect transistors (FinFETs) along with new designs for gate and source/drain regions and applying strain engineering. This article presents how the MOSFET structure and process have been changed (or modified) to follow the More Moore strategy. A focus has been on methodologies, challenges, and difficulties when ITRS approaches the end. The discussions extend to new channel materials beyond the Moore era.

[1]  Jan Mulkens,et al.  Overlay and edge placement control strategies for the 7nm node using EUV and ArF lithography , 2015, Advanced Lithography.

[2]  M. Silberstein,et al.  A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors , 2003, IEEE International Electron Devices Meeting 2003.

[3]  A. Seabaugh,et al.  Tunnel Field-Effect Transistors: State-of-the-Art , 2014, IEEE Journal of the Electron Devices Society.

[4]  Larry Zhao,et al.  Amorphous Co-Ti alloy as a single layer barrier for Co local interconnect structure , 2016, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC).

[5]  Kyu-Hwan Shim,et al.  Selective Chemical Wet Etching of Si 0.8 Ge 0.2 /Si Multilayer , 2013 .

[6]  M. Sousa,et al.  Monolithic Integration of III -V on silicon for photonic and electronic applications , 2018, 2018 76th Device Research Conference (DRC).

[7]  Xing Zhang,et al.  Self-heating induced Variability and Reliability in Nanosheet-FETs Based SRAM , 2018, 2018 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA).

[8]  Nicolas Loubet,et al.  High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[9]  G. Dewey,et al.  Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[10]  Matthew R. Linford,et al.  Alkyl monolayers covalently bonded to silicon surfaces , 1993 .

[11]  Sachin S. Sapatnekar,et al.  Analyzing the electromigration effects on different metal layers and different wire lengths , 2014, 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS).

[12]  J. Bloem,et al.  Etching Ge with Mixtures of HF ‐ H 2 O 2 ‐ H 2 O , 1962 .

[13]  D. Ralph,et al.  Spin transfer torque devices utilizing the giant spin Hall effect of tungsten , 2012, 1208.1711.

[14]  Naoto Horiguchi,et al.  Processing Technologies for Advanced Ge Devices , 2017 .

[15]  Jie Gu,et al.  Fabrication and Characterization of p-Channel Charge Trapping Type FOI-FinFET Memory with MAHAS Structure , 2017 .

[16]  G. Parsons,et al.  Understanding inherent substrate selectivity during atomic layer deposition: Effect of surface preparation, hydroxyl density, and metal oxide composition on nucleation mechanisms during tungsten ALD. , 2017, The Journal of chemical physics.

[17]  F Schwierz,et al.  Two-dimensional materials and their prospects in transistor electronics. , 2015, Nanoscale.

[18]  Injo Ok,et al.  Application of inline high resolution x-ray diffraction in monitoring Si/SiGe and conventional Si in SOI fin-shaped field effect transistor processes , 2012 .

[19]  S.-J. Choi,et al.  A 32nm SoC platform technology with 2nd generation high-k/metal gate transistors optimized for ultra low power, high performance, and high density product applications , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[20]  Peide D. Ye,et al.  Fundamentals of III-V Semiconductor MOSFETs , 2010 .

[21]  Mehul Naik,et al.  Cobalt fill for advanced interconnects , 2017, 2017 IEEE International Interconnect Technology Conference (IITC).

[22]  Mikael Östling,et al.  High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers , 2008 .

[23]  Christopher J. Wilson,et al.  Modeling of Via Resistance for Advanced Technology Nodes , 2017, IEEE Transactions on Electron Devices.

[24]  Heike Riel,et al.  Observation of twin-free GaAs nanowire growth using template-assisted selective epitaxy , 2017 .

[25]  Byung Jin Cho,et al.  Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition , 2015 .

[26]  W LiebmannLars,et al.  Scaling Challenges for Advanced CMOS Devices , 2017 .

[27]  Jing Kong,et al.  MoS2 Field-Effect Transistor with Sub-10 nm Channel Length. , 2016, Nano letters.

[28]  Mitsuru Takenaka,et al.  III–V-based low power CMOS devices on Si platform , 2017, 2017 IEEE International Conference on IC Design and Technology (ICICDT).

[29]  N. Horiguchi,et al.  Superior NBTI in High-k SiGe Transistors–Part II: Theory , 2017, IEEE Transactions on Electron Devices.

[30]  Henry H. Radamson,et al.  Selective epitaxy growth of Si1−xGex layers for MOSFETs and FinFETs , 2015, Journal of Materials Science: Materials in Electronics.

[31]  B. Haran,et al.  Parasitic Resistance Reduction Strategies for Advanced CMOS FinFETs Beyond 7nm , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[32]  Soon-Gil Yoon,et al.  Effect of nitrogen incorporation on improvement of leakage properties in high-k HfO2 capacitors treated by N2-plasma , 2005 .

[33]  N. Horiguchi,et al.  Superior NBTI in High- $k$ SiGe Transistors–Part I: Experimental , 2017, IEEE Transactions on Electron Devices.

[34]  F. Fournel,et al.  300 mm InGaAsOI substrate fabrication using the Smart CutTM technology , 2015, 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

[35]  M. Badaroglu,et al.  Si-cap-free SiGe p-channel FinFETs and gate-all-around transistors in a replacement metal gate process: Interface trap density reduction and performance improvement by high-pressure deuterium anneal , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[36]  Qi Shi,et al.  A low-power and high-speed True Random Number Generator using generated RTN , 2018, 2018 IEEE Symposium on VLSI Technology.

[37]  Christopher J. Wilson,et al.  Ruthenium metallization for advanced interconnects , 2016, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC).

[38]  S. Datta,et al.  Analysis of local interconnect resistance at scaled process nodes , 2015, 2015 73rd Annual Device Research Conference (DRC).

[39]  Yves Campidelli,et al.  Chemical vapour etching of Si, SiGe and Ge with HCl; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations , 2005 .

[40]  S. Datta,et al.  Use of negative capacitance to provide voltage amplification for low power nanoscale devices. , 2008, Nano letters.

[41]  Tianchun Ye,et al.  pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology , 2017, Nanoscale Research Letters.

[42]  Farid Sebaai,et al.  Wet Selective SiGe Etch to Enable Ge Nanowire Formation , 2016 .

[43]  Tianchun Ye,et al.  Integration of Selective Epitaxial Growth of SiGe/Ge Layers in 14nm Node FinFETs , 2016 .

[44]  Gregory N. Parsons,et al.  Using Hydrogen To Expand the Inherent Substrate Selectivity Window During Tungsten Atomic Layer Deposition , 2016 .

[45]  G. Chalia,et al.  Study of Self-Heating Effects in Silicon Nano-Sheet Transistors , 2018, 2018 IEEE International Conference on Electron Devices and Solid State Circuits (EDSSC).

[46]  Daisuke Ando,et al.  Co and CoTix for contact plug and barrier layer in integrated circuits , 2018 .

[47]  Kaustav Banerjee,et al.  Vertical Si-Nanowire n-Type Tunneling FETs With Low Subthreshold Swing (≤ 50 mV/decade) at Room Temperature , 2011 .

[48]  P. Bai,et al.  A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors , 2008, 2008 IEEE International Electron Devices Meeting.

[49]  H. Dai,et al.  Room-temperature all-semiconducting sub-10-nm graphene nanoribbon field-effect transistors. , 2008, Physical review letters.

[50]  Complex Random Telegraph Noise (RTN): What Do We Understand? , 2018, 2018 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA).

[51]  W. Guggenbuhl,et al.  A high-swing, high-impedance MOS cascode circuit , 1990 .

[52]  Lars Hultman,et al.  Characterization of highly Sb-doped Si using high-resolution x-ray diffraction and transmission electron microscopy , 1994 .

[53]  Peter M. Asbeck,et al.  Lateral Graphene Heterostructure Field-Effect Transistor , 2013, IEEE Electron Device Letters.

[54]  Ray Duffy,et al.  Molecular Layer Doping: Non-destructive doping of silicon and germanium , 2014, 2014 20th International Conference on Ion Implantation Technology (IIT).

[55]  Tianchun Ye,et al.  Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors , 2017, Nanoscale Research Letters.

[56]  Chao Zhao,et al.  Investigation of N Type Metal TiAlC by Thermal Atomic Layer Deposition Using TiCl4 and TEA as Precursors , 2016 .

[57]  Kenji Watanabe,et al.  Efficient killer-defect control using reliable high-throughput SEM-ADC , 2001, 2001 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (IEEE Cat. No.01CH37160).

[58]  Peizhen Hong,et al.  Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate , 2015, Nanoscale Research Letters.

[59]  J.-E. Sundgren,et al.  Relation between electrical activation and the B-induced strain in Si determined by reciprocal lattice mapping , 1994 .

[60]  Toru Tatsumi,et al.  Etching characteristics of Si1−xGex alloy in ammoniac wet cleaning , 1990 .

[61]  B. Kaczer,et al.  Characterization of Electron Traps in Si-Capped Ge MOSFETs With $\hbox{HfO}_{2}/\hbox{SiO}_{2}$ Gate Stack , 2012, IEEE Electron Device Letters.

[62]  Yongfeng Lu,et al.  Highly selective chemical etching of Si vs. Si1-xGexusing NH4OH solution , 1997 .

[63]  Mark Y. Liu,et al.  A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array , 2008, 2008 IEEE International Electron Devices Meeting.

[64]  Glen D. Wilk,et al.  Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics , 2007 .

[65]  Byung Jin Cho,et al.  Very Low-Work-Function ALD-Erbium Carbide (ErC2) Metal Electrode on High- $K$ Dielectrics , 2016, IEEE Transactions on Electron Devices.

[66]  K. Maex,et al.  Boosting the on-current of a n-channel nanowire tunnel field-effect transistor by source material optimization , 2008 .

[67]  Dimitri Linten,et al.  Hot electron and hot hole induced degradation of SiGe p-FinFETs studied by degradation maps in the entire bias space , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[68]  Lukas Czornomaz,et al.  CMOS-Compatible Replacement Metal Gate InGaAs-OI FinFET With $I_{ON}=156~\mu \text{A}/\mu \text{m}$ at $V_{DD}= 0.5$ V and , 2016, IEEE Electron Device Letters.

[69]  X. Garros,et al.  Breakthroughs in 3D Sequential technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[70]  Harold Dekkers,et al.  Metal gate work function tuning by Al incorporation in TiN , 2014 .

[71]  G. Burbach,et al.  Dual stress liner for high performance sub-45nm gate length SOI CMOS manufacturing , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[72]  Takashi Matsukawa,et al.  Variability origins of FinFETs and perspective beyond 20nm node , 2011, IEEE 2011 International SOI Conference.

[73]  M. Luisier,et al.  Simulation of nanowire tunneling transistors: From the Wentzel–Kramers–Brillouin approximation to full-band phonon-assisted tunneling , 2010 .

[74]  B. Parvais,et al.  Highly scalable bulk FinFET Devices with Multi-VT options by conductive metal gate stack tuning for the 10-nm node and beyond , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[75]  G. Ghibaudo,et al.  Effect of La and Al addition used for threshold voltage shift on the BTI reliability of HfON-based FDSOI MOSFETs , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[76]  Kenneth A. Goldberg,et al.  EUV photolithography mask inspection using Fourier ptychography , 2018, Commercial + Scientific Sensing and Imaging.

[77]  G. Samudra,et al.  Device Physics and Characteristics of Graphene Nanoribbon Tunneling FETs , 2010, IEEE Transactions on Electron Devices.

[78]  Daniel Bensahel,et al.  High pressure in situ HCl etching of Si1−xGex versus Si for advanced devices , 2008 .

[79]  Tianchun Ye,et al.  Improved Short Channel Effect Control in Bulk FinFETs With Vertical Implantation to Form Self-Aligned Halo and Punch-Through Stop Pocket , 2015, IEEE Electron Device Letters.

[80]  J. S. Clarke,et al.  Resistance and electromigration performance of 6 nm wires , 2016, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC).

[81]  B. Kaczer,et al.  Self-heating in FinFET and GAA-NW using Si, Ge and III/V channels , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[82]  Qiang Xu,et al.  Application of ALD W films as gate filling metal in 22nm HKMG-last integration , 2015 .

[83]  Farid Sebaai,et al.  Selective Wet Etching in Fabricating SiGe and Ge Nanowires for Gate-all-Around MOSFETs , 2018, Solid State Phenomena.

[84]  Qi Guo,et al.  Total Ionizing Dose Response and Annealing Behavior of Bulk nFinFETs With ON-State Bias Irradiation , 2018, IEEE Transactions on Nuclear Science.

[85]  Amir Wachs,et al.  Extreme Contact Scaling with Advanced Metallization of Cobalt , 2018, 2018 IEEE International Interconnect Technology Conference (IITC).

[86]  Heather Patrick,et al.  Progress toward traceable nanoscale optical critical dimension metrology for semiconductors , 2007, SPIE Optical Engineering + Applications.

[87]  Reza Ghandi,et al.  Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors , 2008 .

[88]  Tianchun Ye,et al.  Novel GAA Si Nanowire p-MOSFETs With Excellent Short-Channel Effect Immunity via an Advanced Forming Process , 2018, IEEE Electron Device Letters.

[89]  Peizhen Hong,et al.  Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs , 2017 .

[90]  Michael I. Current,et al.  Ion implantation of advanced silicon devices: Past, present and future , 2017 .

[91]  S. Barraud,et al.  3D analysis of advanced nano-devices using electron and atom probe tomography. , 2014, Ultramicroscopy.

[92]  Tianchun Ye,et al.  Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs , 2015 .

[93]  Wen-li Wu,et al.  Three-dimensional x-ray metrology for block copolymer lithography line-space patterns , 2013 .

[94]  D. Yakimets,et al.  Power aware FinFET and lateral nanosheet FET targeting for 3nm CMOS technology , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[95]  Chao Zhao,et al.  Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET , 2015 .

[96]  Mark Raymond,et al.  Middle of line RC performance study at the 7 nm node , 2017, 2017 IEEE International Interconnect Technology Conference (IITC).

[97]  Naoto Horiguchi,et al.  Strain and Compositional Analysis of (Si)Ge Fin Structures Using High Resolution X‐Ray Diffraction , 2017 .

[98]  Naoto Horiguchi,et al.  Demonstration of sufficient BTI reliability for a 14-nm finFET 1.8 V I/O technology featuring a thick ALD SiO2 IL and Ge p-channel , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[99]  C. Dimitrakopoulos,et al.  State-of-the-art graphene high-frequency electronics. , 2012, Nano letters.

[100]  H. Ohta,et al.  High performance 30 nm gate bulk CMOS for 45 nm node with /spl Sigma/-shaped SiGe-SD , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[101]  Byung-Soo Eun,et al.  Electrical performances of low resistive W buried gate using B2H6-reduced W nucleation layer technology for 30nm-based DRAM devices , 2011, 2011 IEEE International Interconnect Technology Conference.

[102]  L. Witters,et al.  Strained Germanium Gate-All-Around pMOS Device Demonstration Using Selective Wire Release Etch Prior to Replacement Metal Gate Deposition , 2017, IEEE Transactions on Electron Devices.

[103]  F. Chafik,et al.  Tungsten and cobalt metallization: A material study for MOL local interconnects , 2016, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC).

[104]  V. Fiori,et al.  Method for Managing the Stress Due to the Strained Nitride Capping Layer in MOS Transistors , 2007, IEEE Transactions on Electron Devices.

[105]  Gerhard Klimeck,et al.  Thickness Engineered Tunnel Field-Effect Transistors Based on Phosphorene , 2016, IEEE Electron Device Letters.

[106]  Robert Langer,et al.  Study towards integration of In0.53Ga0.47As on 300 mm Si for CMOS sub-7 nm node: Development of thin graded InxGa1−xAs buffers on GaAs , 2016 .

[107]  Mark Y. Liu,et al.  A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2 SRAM cell size , 2014, 2014 IEEE International Electron Devices Meeting.

[108]  K. J. Kuhn,et al.  Considerations for Ultimate CMOS Scaling , 2012, IEEE Transactions on Electron Devices.

[109]  Nicolas Loubet,et al.  Facet Propagation in Si and SiGe Epitaxy or Etching , 2006 .

[110]  Rita Rooyackers,et al.  The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy , 2018 .

[111]  Qiang Xu,et al.  Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology , 2014 .

[112]  H. H. Radamsson,et al.  Strain and relaxation in Si-MBE structures studied by reciprocal space mapping using high resolution X-ray diffraction , 1995 .

[113]  Ted Liang,et al.  EUV progress toward HVM readiness , 2016, SPIE Advanced Lithography.

[114]  P. Ajayan,et al.  Controllable and Rapid Synthesis of High-Quality and Large-Area Bernal Stacked Bilayer Graphene Using Chemical Vapor Deposition , 2014 .

[115]  B. Kaczer,et al.  Understanding charge traps for optimizing Si-passivated Ge nMOSFETs , 2016, 2016 IEEE Symposium on VLSI Technology.

[116]  Steven M. George,et al.  Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2H6 , 2005 .

[117]  Jing Guo,et al.  Computational study of tunneling transistor based on graphene nanoribbon. , 2009, Nano letters.

[118]  Jing Jiang,et al.  Metal Oxide Nanoparticle Photoresists for EUV Patterning , 2014 .

[119]  Tianchun Ye,et al.  FOI FinFET with ultra-low parasitic resistance enabled by fully metallic source and drain formation on isolated bulk-fin , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[120]  C. Merckling,et al.  Scalability of InGaAs gate-all-around FET integrated on 300mm Si platform: Demonstration of channel width down to 7nm and Lg down to 36nm , 2016, 2016 IEEE Symposium on VLSI Technology.

[121]  K. Han,et al.  A novel plasma-based technique for conformal 3D FINFET doping , 2012, 2012 12th International Workshop on Junction Technology.

[122]  Gerhard Klimeck,et al.  Tunnel Field-Effect Transistors in 2-D Transition Metal Dichalcogenide Materials , 2015, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

[123]  K. Croes,et al.  Cobalt bottom-up contact and via prefill enabling advanced logic and DRAM technologies , 2015, 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM).

[124]  Soo-Hyun Kim,et al.  Improvement of Adhesion Performances of CVD-W Films Deposited on B2H6-Based ALD-W Nucleation Layer , 2009 .

[125]  Lijun Li,et al.  Gate‐Tunable Hole and Electron Carrier Transport in Atomically Thin Dual‐Channel WSe2/MoS2 Heterostructure for Ambipolar Field‐Effect Transistors , 2016, Advanced materials.

[126]  Jurriaan Huskens,et al.  Controlling the dopant dose in silicon by mixed-monolayer doping. , 2015, ACS applied materials & interfaces.

[127]  Peizhen Hong,et al.  Self-Aligned Fin-On-Oxide (FOO) FinFETs for Improved SCE Immunity and Multi-VTH Operation on Si Substrate , 2015 .

[128]  U. Wieser,et al.  Nanoscale patterning of Si/SiGe heterostructures by electron-beam lithography and selective wet-chemical etching , 2000 .

[129]  Ian A. Young,et al.  Tunnel Field-Effect Transistors: Prospects and Challenges , 2015, IEEE Journal of the Electron Devices Society.

[130]  Chao Zhao,et al.  Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO2 Dielectric Using TaCl5 and TEA as Precursors , 2017 .

[131]  Tom Mountsier,et al.  Development of electroless Co via-prefill to enable advanced BEOL metallization and via resistance reduction , 2016, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC).

[132]  Tohru Mogami,et al.  Origin of characteristic variability in metal-oxide-semiconductor field-effect transistors revealed by three-dimensional atom imaging , 2011 .

[133]  S. Decoster,et al.  Damascene Benchmark of Ru, Co and Cu in Scaled Dimensions , 2018, 2018 IEEE International Interconnect Technology Conference (IITC).

[134]  C. N. Lau,et al.  Superior thermal conductivity of single-layer graphene. , 2008, Nano letters.

[135]  Wei Wang,et al.  Ruthenium interconnect resistivity and reliability at 48 nm pitch , 2016, 2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC).

[136]  Karen Maex,et al.  Analysis of the size effect in electroplated fine copper wires and a realistic assessment to model copper resistivity , 2007 .

[137]  J. Lee,et al.  A 14 nm SoC platform technology featuring 2nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[138]  Chao Zhao,et al.  Investigation of thermal atomic layer deposited TiAlX (X = N or C) film as metal gate , 2016 .

[139]  Lukas Czornomaz,et al.  First RF characterization of InGaAs replacement metal gate (RMG) nFETs on SiGe-OI FinFETs fabricated by 3D monolithic integration , 2016 .

[140]  J. Kavalieros,et al.  High-frequency response of 100 nm integrated CMOS transistors with high-K gate dielectrics , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[141]  Yasuyuki Miyamoto,et al.  Fin width dependence on gate controllability of InGaAs channel FinFETs with regrown source/drain , 2016 .

[142]  P. Oldiges,et al.  Bottom oxidation through STI (BOTS) — A novel approach to fabricate dielectric isolated FinFETs on bulk substrates , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[143]  Jianlin Liu,et al.  Highly Selective Chemical Etching of Si vs. Si1 − x Ge x Using NH 4 OH Solution , 1997 .

[144]  R. Rooyackers,et al.  Migrating from planar to FinFET for further CMOS scaling: SOI or bulk? , 2009, 2009 Proceedings of ESSCIRC.

[145]  H. Mertens,et al.  Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates , 2016, 2016 IEEE Symposium on VLSI Technology.

[146]  S. Thompson,et al.  Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[147]  C. Hobbs,et al.  Plasma doping of silicon fin structures , 2011, 11th International Workshop on Junction Technology (IWJT).

[148]  H. Yaegashi Pattern fidelity control in Multi-patterning towards 7nm node , 2016, 2016 IEEE 16th International Conference on Nanotechnology (IEEE-NANO).

[149]  Yu-Lun Chueh,et al.  Monolayer doping and diameter-dependent electron mobility assessment of nanowires , 2009, 2009 IEEE International Conference on IC Design and Technology.

[150]  A. Diebold Characterization and Metrology for Nanoelectronics , 2007 .

[151]  Oliver D. Patterson,et al.  Shortest path CD measurement using contour extraction , 2018, 2018 29th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC).

[152]  R. Jammy,et al.  Conformal, low-damage shallow junction technology (Xj∼5nm) with optimized contacts for FinFETs as a Solution Beyond 14nm Node , 2012, 2012 12th International Workshop on Junction Technology.

[153]  F. Mont,et al.  Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires , 2017, 2017 IEEE International Interconnect Technology Conference (IITC).

[154]  T. Ando,et al.  Simple Gate Metal Anneal (SIGMA) stack for FinFET Replacement Metal Gate toward 14nm and beyond , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[155]  Lars Thylén,et al.  Monolithic Nanoscale Photonics-Electronics Integration in Silicon and Other Group IV Elements , 2014 .

[156]  John Zhu,et al.  Selective co growth on Cu for void-free via fill , 2015, 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM).

[157]  L. You,et al.  Negative capacitance in a ferroelectric capacitor. , 2014, Nature materials.

[158]  H. Dai,et al.  Facile synthesis of high-quality graphene nanoribbons. , 2010, Nature nanotechnology.

[159]  Xinran Wang,et al.  Graphene and related two-dimensional materials: Structure-property relationships for electronics and optoelectronics , 2017 .

[160]  G. Curello,et al.  A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications , 2012, 2012 International Electron Devices Meeting.

[161]  Huaxiang Yin,et al.  Near-ideal subthreshold swing MoS2 back-gate transistors with an optimized ultrathin HfO2 dielectric layer , 2019, Nanotechnology.

[162]  Jing Guo,et al.  Device Performance of Heterojunction Tunneling Field-Effect Transistors Based on Transition Metal Dichalcogenide Monolayer , 2013, IEEE Electron Device Letters.

[163]  J. Jopling,et al.  High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistors , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[164]  A. V. Fedorov,et al.  Substrate-induced bandgap opening in epitaxial graphene. , 2007, Nature materials.

[165]  Ernst J. R. Sudhölter,et al.  An Improved Method for the Preparation of Organic Monolayers of 1-Alkenes on Hydrogen-Terminated Silicon Surfaces , 1999 .

[166]  Henry H. Radamson,et al.  Application of high-resolution x-ray diffraction for detecting defects in SiGe(C) materials , 2005 .

[167]  Xiaobin He,et al.  The Challenges of Advanced CMOS Process from 2D to 3D , 2017 .

[168]  Naoyoshi Tamura,et al.  45 nm CMOS technology with low temperature selective epitaxy of SiGe , 2008 .

[169]  Chao Zhao,et al.  Thermal Atomic Layer Deposition of TaAlC with TaCl5 and TMA as Precursors , 2016 .

[170]  Peter Ebersbach,et al.  A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM , 2011, Advanced Lithography.

[171]  H. Hahn,et al.  A scaled replacement metal gate InGaAs-on-Insulator n-FinFET on Si with record performance , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[172]  O. Faynot,et al.  Strain, stress, and mechanical relaxation in fin-patterned Si/SiGe multilayers for sub-7 nm nanosheet gate-all-around device technology , 2018 .

[173]  Alain C. Diebold,et al.  Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1-xGex/Si fin structures using x-ray reciprocal space maps , 2014 .

[174]  Sylvain Barraud,et al.  Atom probe tomography for advanced nanoelectronic devices: Current status and perspectives , 2017 .

[175]  Mincheol Shin,et al.  The Performance of Uniaxially Strained Phosphorene Tunneling Field- Effect Transistors , 2017, IEEE Electron Device Letters.

[176]  Christopher J. Wilson,et al.  Highly Scaled Ruthenium Interconnects , 2017, IEEE Electron Device Letters.

[177]  Wang Jing Strained Silicon—A Technology to Extend Moore's Law , 2008 .

[178]  Jean-Pierre Colinge,et al.  FinFETs and Other Multi-Gate Transistors , 2007 .

[179]  Akira Sakai,et al.  Quantification of local strain distributions in nanoscale strained SiGe FinFET structures , 2017 .

[180]  Mark van de Kerkhof,et al.  Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner , 2017, Advanced Lithography.

[181]  Y. S. Kim,et al.  Ultra-shallow junction formation on 3D silicon and germanium device structures by ion energy decoupled plasma doping , 2017, 2017 17th International Workshop on Junction Technology (IWJT).

[182]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.

[183]  Heather Patrick,et al.  Developing an uncertainty analysis for optical scatterometry , 2009, Advanced Lithography.

[184]  C. Hu,et al.  Field-effect transistors built from all two-dimensional material components. , 2014, ACS nano.

[185]  Renzo Capelli,et al.  Aerial image based metrology of EUV masks: recent achievements, status, and outlook for the AIMS EUV platform , 2018, Advanced Lithography.

[186]  Chao Zhao,et al.  Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology , 2015 .

[187]  Tae-Sik Yoon,et al.  Effects of phase of underlying W film on chemical vapor deposited-W film growth and applications to contact-plug and bit line processes for memory devices , 2007 .

[188]  S. Koester,et al.  Ab-Initio Simulation of van der Waals MoTe2–SnS2 Heterotunneling FETs for Low-Power Electronics , 2015, IEEE Electron Device Letters.

[189]  Krishna C. Saraswat,et al.  Radical oxidation of germanium for interface gate dielectric GeO2 formation in metal-insulator-semiconductor gate stack , 2009 .

[190]  N. Loubet,et al.  Si nanowire CMOS fabricated with minimal deviation from RMG FinFET technology showing record performance , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[191]  Karsten Rott,et al.  Temperature dependence of the spin Hall angle and switching current in the nc-W(O)/CoFeB/MgO system with perpendicular magnetic anisotropy , 2016, 1608.02528.

[192]  B. Parvais,et al.  First Demonstration of 3D stacked Finfets at a 45nm fin pitch and 110nm gate pitch technology on 300mm wafers , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[193]  Li Li,et al.  Extreme ultraviolet resist materials for sub-7 nm patterning. , 2017, Chemical Society reviews.

[194]  D. Esseni,et al.  Operation and Design of van der Waals Tunnel Transistors: A 3-D Quantum Transport Study , 2016, IEEE Transactions on Electron Devices.

[195]  C. Hu,et al.  Germanium-source tunnel field effect transistors with record high ION/IOFF , 2006, 2009 Symposium on VLSI Technology.

[196]  Frank Scholze,et al.  Grazing-incidence small-angle X-ray scattering (GISAXS) on small periodic targets using large beams , 2017, IUCrJ.

[197]  J. Hicks,et al.  Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[198]  Everton Bonturim,et al.  Scalable energy-efficient magnetoelectric spin–orbit logic , 2018, Nature.

[199]  A. Ferrari,et al.  Graphene Photonics and Optoelectroncs , 2010, CLEO 2012.

[200]  Zhiyong Fan,et al.  Controlled nanoscale doping of semiconductors via molecular monolayers. , 2008, Nature materials.

[201]  K. Saraswat,et al.  Band to Band Tunneling Study in High Mobility Materials : III-V, Si, Ge and strained SiGe , 2007, 2007 65th Annual Device Research Conference.

[202]  G. Lucovsky,et al.  Ultrathin SiO2 and High-K Materials for ULSI Gate Dielectrics , 1999 .

[203]  Denis Shamiryan,et al.  Cleaning Challenges of High‐κ/Metal Gate Structures , 2011 .

[204]  T. Novikova,et al.  Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics. , 2006, Applied optics.

[205]  Xing Zhang,et al.  Layout Design Correlated With Self-Heating Effect in Stacked Nanosheet Transistors , 2018, IEEE Transactions on Electron Devices.

[206]  S. Rhee,et al.  Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane/Hydrogen Gas , 2010 .

[207]  Frank Scholze,et al.  Grazing Incidence Small Angle X-Ray Scattering ( GISAXS ) on Small Targets Using Large Beams , 2018 .

[208]  Bryan J. Rice,et al.  Line edge roughness characterization of sub-50nm structures using CD-SAXS: round-robin benchmark results , 2007, SPIE Advanced Lithography.

[209]  Jurriaan Schmitz,et al.  Low-resistivity α-phase tungsten films grown by hot-wire assisted atomic layer deposition in high-aspect-ratio structures , 2018 .

[210]  Naoto Horiguchi,et al.  Advances on doping strategies for triple-gate finFETs and lateral gate-all-around nanowire FETs and their impact on device performance , 2017 .

[211]  S. S. Rhee,et al.  Selective Etching of SiGe on SiGe / Si Heterostructures , 1991 .

[212]  P. Bai,et al.  A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 /spl mu/m/sup 2/ SRAM cell , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[213]  O. Rozeau,et al.  Dual-channel CMOS co-integration with Si NFET and strained-SiGe PFET in nanowire device architecture featuring sub-15nm gate length , 2014, 2014 IEEE International Electron Devices Meeting.

[214]  Igor L. Markov,et al.  Limits on fundamental limits to computation , 2014, Nature.

[215]  Lili Vescan,et al.  Facet investigation in selective epitaxial growth of Si and SiGe on (001) Si for optoelectronic devices , 1998 .

[216]  Kang L. Wang,et al.  Chemical Etching of Si1 − x Ge x in HF : H 2 O 2 : CH 3 COOH , 1995 .

[217]  Christopher J. Wilson,et al.  Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper. , 2016, ACS applied materials & interfaces.

[218]  Jürgen Schubert,et al.  Ternary rare-earth metal oxide high-k layers on silicon oxide , 2005 .

[219]  A. Heuberger,et al.  Anisotropic Etching of Crystalline Silicon in Alkaline Solutions I . Orientation Dependence and Behavior of Passivation Layers , 1990 .

[220]  Christopher J. Wilson,et al.  Subtractive Etch of Ruthenium for Sub-5nm Interconnect , 2018, 2018 IEEE International Interconnect Technology Conference (IITC).

[221]  Yuan Taur,et al.  Device scaling limits of Si MOSFETs and their application dependencies , 2001, Proc. IEEE.

[222]  T. Ando,et al.  Differentiated Performance and Reliability Enabled by Multi-Work Function Solution in RMG Silicon and SiGe MOSFETs , 2018, 2018 IEEE Symposium on VLSI Technology.

[223]  Geert Vandenberghe,et al.  Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure , 2017, Advanced Lithography.

[224]  R. Cavin,et al.  Nanoelectronics: negative capacitance to the rescue? , 2008, Nature nanotechnology.

[225]  Steven Wolf,et al.  Selective etching of silicon in preference to germanium and Si0.5Ge0.5 , 2017, 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA).

[226]  S. Lau,et al.  Ferroelectric‐Driven Performance Enhancement of Graphene Field‐Effect Transistors Based on Vertical Tunneling Heterostructures , 2016, Advanced materials.

[227]  D. Caimi,et al.  Confined Epitaxial Lateral Overgrowth (CELO): A novel concept for scalable integration of CMOS-compatible InGaAs-on-insulator MOSFETs on large-area Si substrates , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[228]  Mengwei Si,et al.  RTN and low frequency noise on ultra-scaled near-ballistic Ge nanowire nMOSFETs , 2016, 2016 IEEE Symposium on VLSI Technology.

[229]  Ta-Hung Yang,et al.  Advanced tungsten plug process for beyond nanometer technology , 2008 .

[230]  S. Datta,et al.  Layout-Dependent Strain Optimization for p-Channel Trigate Transistors , 2012, IEEE Transactions on Electron Devices.

[231]  W. Vandervorst,et al.  Industrial application of atom probe tomography to semiconductor devices , 2017 .

[232]  Yangyuan Wang,et al.  Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).

[233]  Huilong Zhu,et al.  Hetero-Epitaxy and Self-Adaptive Stressor Based on Freestanding Fin for the 10 nm Node and Beyond* , 2017 .

[234]  Steven M. George,et al.  Nucleation and Growth During Tungsten Atomic Layer Deposition on Oxide Surfaces , 2001 .

[235]  R. Joseph Kline,et al.  Determining the shape and periodicity of nanostructures using small‐angle X‐ray scattering , 2015 .

[236]  Miaomiao Wang,et al.  Novel Materials and Processes in Replacement Metal Gate for Advanced CMOS Technology , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[237]  Chuanwei Zhang,et al.  Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology , 2015 .

[238]  Hideki Takeuchi,et al.  Scaling limits of hafnium–silicate films for gate-dielectric applications , 2003 .

[239]  Dim-Lee Kwong,et al.  Modification of Molybdenum Gate Electrode Work Function via (La-, Al-Induced) Dipole Effect at High-$k/\hbox{SiO}_{2}$ Interface , 2008, IEEE Electron Device Letters.

[240]  Naoto Horiguchi,et al.  (Invited) Selective Etch of Si and SiGe for Gate All-Around Device Architecture , 2015 .

[241]  Tom Mountsier,et al.  Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices , 2015 .

[242]  Harry J. Levinson,et al.  Current challenges and opportunities for EUV lithography , 2018, Photomask Technology.