Opportunities of Chip Power Integrity and Performance Improvement through Wafer Backside (BS) Connection: Invited Paper

Technology node scaling is driven by the need to increase system performance, but it also leads to a significant power integrity bottleneck, due to the associated back-end-of-line (BEOL) scaling. Power integrity degradation induced by on-chip Power Delivery Network (PDN) IR drop is a result of increased power density and number of metal layers in the BEOL and their resistivity. Meanwhile, signal routing limits the SoC performance improvements due to increased routing congestion and delays. To conquer these issues, we introduce a disruptive technology: wafer backside (BS) connection to realize chip BS PDN (BSPDN) and BS signal routing. We first provide some key wafer processes features that were developed at imec to enable this technology. Further, we show benefits of this technology by demonstrating a large improvement in chip power integrity and performance after applying this technology to BSPDN and BS routing with a sub-2nm technology node design rule. Challenges and outlook of the BS technology are also discussed before conclusion of this paper.

[1]  A. Jourdain,et al.  Insights into Scaled Logic Devices Connected from Both Wafer Sides , 2022, International Electron Devices Meeting.

[2]  A. Jourdain,et al.  Power, Performance, Area and Thermal Analysis of 2D and 3D ICs at A14 Node Designed with Back-side Power Delivery Network , 2022, International Electron Devices Meeting.

[3]  J. Ryckaert,et al.  Evaluation of BEOL scaling boosters for sub-2nm using enhanced-RO analysis , 2022, 2022 IEEE International Interconnect Technology Conference (IITC).

[4]  A. Jourdain,et al.  Backside PDN and 2.5D MIMCAP to Double Boost 2D and 3D ICs IR-Drop beyond 2nm Node , 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

[5]  A. Jourdain,et al.  Scaled FinFETs Connected by Using Both Wafer Sides for Routing via Buried Power Rails , 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

[6]  F. M. Bufler,et al.  PPAC of sheet-based CFET configurations for 4 track design with 16nm metal pitch , 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

[7]  A. Asenov,et al.  Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation—Part I: CNFET Transistor Optimization , 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  A. Jourdain,et al.  Design and Optimization of SRAM Macro and Logic Using Backside Interconnects at 2nm node , 2021, 2021 IEEE International Electron Devices Meeting (IEDM).

[9]  E. Beyne,et al.  IR-Drop Analysis of Hybrid Bonded 3D-ICs with Backside Power Delivery and μ- & n- TSVs , 2021, 2021 IEEE International Interconnect Technology Conference (IITC).

[10]  H. Mertens,et al.  Forksheet FETs for Advanced CMOS Scaling: Forksheet-Nanosheet Co-Integration and Dual Work Function Metal Gates at 17nm N-P Space , 2021, 2021 Symposium on VLSI Technology.

[11]  E. Beyne,et al.  3D-optimized SRAM Macro Design and Application to Memory-on-Logic 3D-IC at Advanced Nodes , 2020, 2020 IEEE International Electron Devices Meeting (IEDM).

[12]  J. Ryckaert,et al.  Extending the roadmap beyond 3nm through system scaling boosters: A case study on Buried Power Rail and Backside Power Delivery , 2019, 2019 Electron Devices Technology and Manufacturing Conference (EDTM).

[13]  A. Asenov,et al.  Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances—Part I: Pristine MWCNT , 2018, IEEE Transactions on Electron Devices.