Design Methodologies for Yield Enhancement and Power Efficiency in SRAM-Based SoCs

This paper comprises two new methodologies to improve yield and reduce system-on-a-chip power. The first methodology is based on faulty static random-access memory (SRAM) cells detections and cache resizing. The key advantage of this approach is that it enables the end user to control the system's parameters to be error tolerant. Furthermore, this technique enables aggressive voltage scaling which causes parametric (soft) failures in SRAM-based memory. As such, the proposed methodology can be utilized to exchange cache size for lower power or better yield. In the second methodology, data from faulty cells are treated as imposed noise. Depending on the application, this error percentage (imposed noise) can be mitigated through three options. First, ignore error if the percentage of the error is tolerable. Second, simple hardware filtration is needed. Finally, software-based filtration is required. The viability of this approach is that it allows aggressive voltage scaling below the traditional to be a 100% correct approach for SRAM supply, which results in substantial reduction of power, trading off quality for power. For both approaches, BIST is used as part of the powerup sequence to identify the faulty memory addresses per voltage level and compute the faulty cells percentage. Furthermore, the proposed methodologies help in improving reliability and counteracting long-term effects on memory cell stability and lifetime degradation caused by negative bias temperature instability.

[1]  Jacob A. Abraham,et al.  A reduced voltage swing circuit using a single supply to enable lower voltage operation for SRAM-based memory , 2012, Microelectron. J..

[2]  Xin Wang,et al.  An Improved Adaptive Wiener Filtering Algorithm , 2006, 2006 8th international Conference on Signal Processing.

[3]  Kaushik Roy,et al.  A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Sally A. McKee,et al.  Hitting the memory wall: implications of the obvious , 1995, CARN.

[5]  K.J. Kuhn,et al.  Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.

[6]  Mohab Anis,et al.  Nanometer Variation-Tolerant SRAM , 2013 .

[7]  Said Hamdioui,et al.  The state-of-art and future trends in testing embedded memories , 2004 .

[8]  Jin-Fu Li,et al.  Built-in redundancy analysis for memory yield improvement , 2003, IEEE Trans. Reliab..

[9]  P. Glenn Gulak,et al.  Fault-Tolerant Embedded-Memory Strategy for Baseband Signal Processing Systems , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Brad Calder,et al.  Picking statistically valid and early simulation points , 2003, 2003 12th International Conference on Parallel Architectures and Compilation Techniques.

[11]  Avesta Sasan,et al.  A Low Power JPEG2000 Encoder With Iterative and Fault Tolerant Error Concealment , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Baker Mohammad Embedded Memory Design for Multi-Core and Systems on Chip , 2013 .

[13]  Antonio María González Colás,et al.  Low Vccmin fault-tolerant cache with highly predictable performance , 2009, MICRO 2009.

[14]  Kaushik Roy,et al.  A Priority-Based 6T/8T Hybrid SRAM Architecture for Aggressive Voltage Scaling in Video Applications , 2011, IEEE Transactions on Circuits and Systems for Video Technology.

[15]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Baker Mohammad,et al.  Dynamic cache resizing architecture for high yield SOC , 2009, 2009 IEEE International Conference on IC Design and Technology.

[17]  J. B. Khare Memory yield improvement - SoC design perspective , 2004 .

[18]  David Blaauw,et al.  13.8 A 32kb SRAM for error-free and error-tolerant applications with dynamic energy-quality management in 28nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[19]  Alaa R. Alameldeen,et al.  Trading off Cache Capacity for Reliability to Enable Low Voltage Operation , 2008, 2008 International Symposium on Computer Architecture.

[20]  Sani R. Nassif,et al.  Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[21]  Yale N. Patt,et al.  Feedback-driven threading: power-efficient and high-performance execution of multi-threaded workloads on CMPs , 2008, ASPLOS.

[22]  J.G. Massey,et al.  NBTI: what we know and what we need to know - a tutorial addressing the current understanding and challenges for the future , 2004, IEEE International Integrated Reliability Workshop Final Report, 2004.

[23]  Maurice V. Wilkes,et al.  The memory gap and the future of high performance memories , 2001, CARN.

[24]  Muhammad Umar Mushtaq,et al.  Comparison of 2D median filter hardware implementations for real-time stereo video , 2008, 2008 37th IEEE Applied Imagery Pattern Recognition Workshop.