Novel CAD Techniques for New Challenges in Deep Sub-Micron VLSI Design

CMOS technology has continuously scaled into deep sub-micron regime. With CAMS scaling, many complex design issues arise. The challenges include, but not limited to, the increasing of interconnect delay and power, exponential growth of leakage power, and rapid growth of design complexity. These challenges motivate its to design new CAD algorithms to reduce power consumption (both leakage power and dynamic power), to effectively reduce design complexity, and to improve circuit performance. In Chapter 2, we present a floorplanning algorithm for 3-D IC designs, which can effectively reduce interconnect delays. Our algorithm is based on a generalization of the classical 2-D slicing floorplans to 3-D slicing floorplans. A new encoding scheme of slicing floorplans (2-D/3-D) and its associated set of moves form the basis of the new simulated annealing based algorithm. In Chapter 3, we present the first FPGA floorplanning algorithm targeted for FPGAs with heterogeneous resources, such as Configurable Logic Blocks ( CLB), RAMs and multipliers. In Chapter 4, we present an efficient and effective method to reduce circuit leakage power consumption using input vector control. Our algorithm is able to solve the IVC and gate replacement problems simultaneously. A dynamic programming based-algorithm is used for making fast evaluation on input vectors, as well as replacing gates. In Chapter 5, we present an FPGA technology snapping algorithm targeting dynamic power minimization. We propose a switching activity estimation model considering glitches for FPGAs, and develop our technology mapping algorithm based on this model. In Chapter 6, we present an FPGA technology mapping algorithm targeting designs with multi-clock domains such as those containing multi-clocks, multi-cycle paths, and false paths. We use timing constraints to handle these unique clocking issues. Our algorithm produces a mapped circuit with the optimal mapping depth under timing constraints. In chapter 7, we target FPGA performance optimization using a novel BDD-based synthesis approach. In this work, we concentrate on delay reduction and conclude that there is a large optimization margin through BDD synthesis for FPGA performance optimization.

[1]  C. Y. Lee Representation of switching circuits by binary-decision programs , 1959 .

[2]  Evangeline F. Y. Young,et al.  Slicing floorplans with range constraint , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Sung-Mo Kang,et al.  Interconnect thermal modeling for accurate simulation of circuittiming and reliability , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Jonathan Rose,et al.  Technology mapping of lookup table-based FPGAs for performance , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[5]  Dinesh Bhatia,et al.  A methodology for fast FPGA floorplanning , 1999, FPGA '99.

[6]  Robert K. Brayton,et al.  The use of observability and external don't cares for the simplification of multi-level networks , 1991, DAC '90.

[7]  Yoji Kajitani,et al.  The 3 D-Packing by Meta Data Structure and Packing Heuristics , 2000 .

[8]  Ioannis G. Tollis,et al.  Improved Techniques for Estimating Signal Probabilities , 1989, IEEE Trans. Computers.

[9]  Mark C. Johnson,et al.  Models and algorithms for bounds on leakage in CMOS circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Russell Tessier,et al.  BDD-based logic synthesis for LUT-based FPGAs , 2002, TODE.

[11]  A. Fan,et al.  Copper Wafer Bonding , 1999 .

[12]  Alberto L. Sangiovanni-Vincentelli,et al.  On thermal effects in deep sub-micron VLSI interconnects , 1999, DAC '99.

[13]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[14]  Mark C. Johnson,et al.  Leakage control with efficient use of transistor stacks in single threshold CMOS , 1999, DAC '99.

[15]  Mary Jane Irwin,et al.  Transistor sizing for low power CMOS circuits , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Yi Zhu,et al.  Efficient static timing analysis using a unified framework for false paths and multi-cycle paths , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[17]  Sarma B. K. Vrudhula,et al.  Implicit pseudo boolean enumeration algorithms for input vector control , 2004, Proceedings. 41st Design Automation Conference, 2004..

[18]  Congguang Yang,et al.  Bdd-based logic synthesis system , 2000 .

[19]  Yoji Kajitani,et al.  An enhanced Q-sequence augmented with empty-room-insertion and parenthesis trees , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[20]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.

[21]  Robert K. Brayton,et al.  Improvements to Technology Mapping for LUT-Based FPGAs , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Nur A. Touba,et al.  Iterative OPDD based signal probability calculation , 2006, 24th IEEE VLSI Test Symposium.

[23]  Feng Gao,et al.  Exact and heuristic approaches to input vector control for leakage power reduction , 2004, ICCAD 2004.

[24]  S. Kimura,et al.  Multi-clock path analysis using propositional satisfiability , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[25]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[26]  R. Rao,et al.  A Heuristic to Determine Low Leakage Sleep State Vectors for CMOS Combinational Circuits , 2003, ICCAD 2003.

[27]  H. Murata,et al.  Rectangle-packing-based module placement , 1995, ICCAD 1995.

[28]  Sharad Malik,et al.  Guarded evaluation: pushing power management to logic synthesis/design , 1995, ISLPED '95.

[29]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational logic circuits using symbolic simulation , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  Sujit Dey,et al.  Register transfer level power optimization with emphasis on glitch analysis and reduction , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[31]  Julien Lamoureux,et al.  On the Interaction Between Power-Aware FPGA CAD Algorithms , 2003, ICCAD 2003.

[32]  Daniel P. Siewiorek,et al.  Automated Multi-Cycle Symbolic Timing Verification of Microprocessor-based Designs , 1994, 31st Design Automation Conference.

[33]  Hugo De Man,et al.  Static Timing Analysis of Dynamically Sensitizable Paths , 1989, 26th ACM/IEEE Design Automation Conference.

[34]  Kevin Skadron,et al.  Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[35]  Robert K. Brayton,et al.  Extracting local don't cares for network optimization , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[36]  David Hung-Chang Du,et al.  Path sensitization in critical path problem , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[37]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[38]  Jun Gu,et al.  ECBL: an extended corner block list with solution space including optimum placement , 2001, ISPD '01.

[39]  Krishna P. Belkhale,et al.  Timing analysis with known false sub graphs , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[40]  Sharad Malik,et al.  Exploiting multicycle false paths in the performance optimization of sequential logic circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[41]  Jason Cong,et al.  FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[42]  Jason Cong,et al.  Low-power technology mapping for FPGA architectures with dual supply voltages , 2004, FPGA '04.

[43]  S.A. Al-Arian,et al.  A new heuristic algorithm for estimating signal and detection probabilities , 1997, Proceedings Great Lakes Symposium on VLSI.

[44]  E. Alon,et al.  The implementation of a 2-core, multi-threaded itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[45]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[46]  Jason Cong,et al.  DAG-Map: graph-based FPGA technology mapping for delay optimization , 1992, IEEE Design & Test of Computers.

[47]  Ting-Chi Wang,et al.  Power minization in LUT-based FPGA technology mapping , 2001, ASP-DAC '01.

[48]  A. Ghosh,et al.  Precomputation-based Sequential Logic Optimization For Low Power , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[49]  Robert K. Brayton,et al.  Efficient Algorithms for Computing the Longest Viable Path in a Combinational Network , 1989, 26th ACM/IEEE Design Automation Conference.

[50]  Robert K. Brayton,et al.  Logic Minimization Algorithms for VLSI Synthesis , 1984, The Kluwer International Series in Engineering and Computer Science.

[51]  Martin D. F. Wong,et al.  FAST-SP: a fast algorithm for block placement based on sequence pair , 2001, ASP-DAC '01.

[52]  Mansun Chan,et al.  Development of a viable 3D integrated circuit technology , 2001, Science in China Series : Information Sciences.

[53]  T. Sakurai,et al.  Self-adjusting threshold-voltage scheme (SATS) for low-voltage high-speed operation , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[54]  Carl Sechen,et al.  IMPROVED SIMULATED ANNEALING ALGORIHM FOR ROW-BASED PLACEMENT. , 1987 .

[55]  Takeshi Yoshimura,et al.  An enhanced perturbing algorithm for floorplan design using the O-tree representation , 2000, ISPD '00.

[56]  Jason Helge Anderson,et al.  Power-aware technology mapping for LUT-based FPGAs , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

[57]  Rajendran Panda,et al.  Removing user-specified false paths from timing graphs , 2000, Proceedings 37th Design Automation Conference.

[58]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[59]  D. Gregory,et al.  SOCRATES: A System for Automatically Synthesizing and Optimizing Combinational Logic , 1986, 23rd ACM/IEEE Design Automation Conference.

[60]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[61]  R. Rudell Dynamic variable ordering for ordered binary decision diagrams , 1993, ICCAD 1993.

[62]  Wayne Luk,et al.  The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays , 2004, FPL.

[63]  Evangeline F. Y. Young,et al.  Twin binary sequences: a non-redundant representation for general non-slicing floorplan , 2002, ISPD '02.

[64]  Majid Sarrafzadeh,et al.  FPGA Technology Mapping for Power Minimization , 1994, FPL.

[65]  Kaushik Roy,et al.  Estimation of activity for static and domino CMOS circuits considering signal correlations and simultaneous switching , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[66]  David Hung-Chang Du,et al.  On the General False Path Problem in Timing Analysis , 1989, 26th ACM/IEEE Design Automation Conference.

[67]  Mark C. Johnson,et al.  Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks , 1998, ISLPED '98.

[68]  Jason Helge Anderson,et al.  Switching activity analysis and pre-layout activity prediction for FPGAs , 2003, SLIP '03.

[69]  Srinivas Devadas,et al.  Retiming sequential circuits for low power , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[70]  K. Nakamura,et al.  Enhancing the performance of multi-cycle path analysis in an industrial setting , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[71]  Massoud Pedram,et al.  Leakage current reduction in CMOS VLSI circuits by input vector control , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[72]  Rajeev Murgai,et al.  Improved logic synthesis algorithms for table look up architectures , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[73]  Gang Qu,et al.  Enhanced leakage reduction technique by gate replacement , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[74]  David Blaauw,et al.  Robust SAT-Based Search Algorithm for Leakage Power Reduction , 2002, PATMOS.

[75]  Jason Cong,et al.  DAOmap: a depth-optimal area optimization mapping algorithm for FPGA designs , 2004, ICCAD 2004.

[76]  Jonathan Rose,et al.  Chortle-crf: fast technology mapping for lookup table-based FPGAs , 1991, 28th ACM/IEEE Design Automation Conference.

[77]  J. Savir Improved cutting algorithm , 1990 .

[78]  Hugo De Man,et al.  Timing verification using statically sensitizable paths , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[79]  Alberto Sangiovanni-Vincentelli,et al.  Logic synthesis for vlsi design , 1989 .

[80]  Daniel Brand,et al.  Timing Analysis Using Functional Analysis , 1988, IEEE Trans. Computers.

[81]  Alexander Saldanha,et al.  Timing analysis with implicitly specified false paths , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[82]  Salil Raje,et al.  Multi-Million Gate FPGA Physical Design Challenges , 2003, ICCAD 2003.

[83]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[84]  Stephen Dean Brown,et al.  Post-placement bdd-based decomposition for FPGAs , 2005, International Conference on Field Programmable Logic and Applications, 2005..

[85]  Mark C. Johnson,et al.  Design and optimization of low voltage high performance dual threshold CMOS circuits , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[86]  Maciej J. Ciesielski,et al.  BDS: a BDD-based logic optimization system , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[87]  Stephen Dean Brown,et al.  Heuristics for Area Minimization in LUT-Based FPGA Technology Mapping , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[88]  Vivek De,et al.  A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[89]  Farid N. Najm,et al.  A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[90]  Edward J. McCluskey,et al.  Probabilistic Treatment of General Combinational Networks , 1975, IEEE Transactions on Computers.

[91]  Vaughn Betz,et al.  Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.