Power Delivery Network Modeling and Benchmarking for Emerging Heterogeneous Integration Technologies

In this article, a power delivery network (PDN) modeling framework for heterogeneous integration platforms is presented, which includes both IR-drop and transient analysis. The model is validated using IBM power grid benchmarks, and maximum relative errors are less than 7.3%. To evaluate interposer and bridge-chip-based integration platforms, we assume a field-programmable gate array (FPGA)-CPU 2.5-D integration platform, of which the FPGA consumes 45 W and the CPU consumes 75 W. The simulation results show that an interposer with dense power/ground grid and microbumps can suppress power supply noise (PSN) by a small margin with the requirement of high-density through-silicon vias (TSVs). For bridge-chip-based integration, under the assumption that the active dice above the bridge-chips are not connected to the package power/ground planes, some PDN challenges are evaluated. Using multiple bridge-chips and smaller overlap areas between the bridge-chips and the active dice, the worst-case PSN in bridge-chip-based integration is minimized. Next, we perform parametric studies for these 2.5-D integration platforms as a function of C4 bump pitch and metal layers. Lastly, we propose to use TSVs in the bridge-chip to reduce PSN. With nine bundled TSVs of $8~\mu \text{m}$ diameter, the IR-drop of bridge-chip-based integration technologies is only 1% higher than the standalone case.

[1]  Muhannad S. Bakir,et al.  Integrated Thermal and Power Delivery Network Co-Simulation Framework for Single-Die and Multi-Die Assemblies , 2017, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[2]  Gu-Yeon Wei,et al.  Characterizing and evaluating voltage noise in multi-core near-threshold processors , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[3]  Sani R. Nassif,et al.  Power grid analysis benchmarks , 2008, 2008 Asia and South Pacific Design Automation Conference.

[4]  Paul K. Jo,et al.  Heterogeneous Interconnect Stitching Technology With Compressible MicroInterconnects for Dense Multi-Die Integration , 2017, IEEE Electron Device Letters.

[5]  James J.-Q. Lu,et al.  Modeling and Analysis of PDN Impedance and Switching Noise in TSV-Based 3-D Integration , 2015, IEEE Transactions on Electron Devices.

[6]  Eric S. Chung,et al.  A reconfigurable fabric for accelerating large-scale datacenter services , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[7]  Kevin Skadron,et al.  Architecture implications of pads as a scarce resource , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[8]  Sung Kyu Lim,et al.  Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[10]  Muhannad S. Bakir,et al.  Power Delivery Network Benchmarking for Interposer and Bridge-Chip-Based 2.5-D Integration , 2018, IEEE Electron Device Letters.

[11]  Li Zheng,et al.  Full-Chip Power Supply Noise Time-Domain Numerical Modeling and Analysis for Single and Stacked ICs , 2016, IEEE Transactions on Electron Devices.

[12]  Anthony Collins,et al.  A Heterogeneous 3D-IC Consisting of Two 28 nm FPGA Die and 32 Reconfigurable High-Performance Data Converters , 2014, IEEE Journal of Solid-State Circuits.

[13]  Alexandra Fedorova,et al.  Analyzing memory management methods on integrated CPU-GPU systems , 2017, ISMM.

[14]  Soha Hassoun,et al.  System-level comparison of power delivery design for 2D and 3D ICs , 2009, 2009 IEEE International Conference on 3D System Integration.

[15]  Muhannad S. Bakir,et al.  Thermal-Power Delivery Network Co-Analysis for Multi-Die Integration , 2018, 2018 IEEE 27th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS).

[16]  R. Mahajan,et al.  Embedded Multi-die Interconnect Bridge (EMIB) -- A High Density, High Bandwidth Packaging Interconnect , 2016, 2016 IEEE 66th Electronic Components and Technology Conference (ECTC).

[17]  Joungho Kim,et al.  Power distribution network (PDN) design and analysis of a single and double-sided high bandwidth memory (HBM) interposer for 2.5D Terabtye/s bandwidth system , 2016, 2016 IEEE International Symposium on Electromagnetic Compatibility (EMC).

[18]  Joungho Kim,et al.  Analysis and optimization of a power distribution network in 2.5D IC with glass interposer , 2014, 2014 International 3D Systems Integration Conference (3DIC).

[19]  Madhavan Swaminathan,et al.  Electrical–Thermal Cosimulation With Nonconformal Domain Decomposition Method for Multiscale 3-D Integrated Systems , 2014, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[20]  Rao Tummala,et al.  Signal and power integrity analysis in 2.5D integrated circuits (ICs) with glass, silicon and organic interposer , 2015, 2015 IEEE 65th Electronic Components and Technology Conference (ECTC).

[21]  Chung-Kuan Cheng,et al.  MATEX: A distributed framework for transient simulation of power distribution networks , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[22]  Eric Beyne,et al.  A Novel Fan-Out Concept for Ultra-High Chip-to-Chip Interconnect Density with 20-µm Pitch , 2018, 2018 IEEE 68th Electronic Components and Technology Conference (ECTC).

[23]  Joe Macri,et al.  AMD's next generation GPU and high bandwidth memory architecture: FURY , 2015, 2015 IEEE Hot Chips 27 Symposium (HCS).

[24]  Wei Zhao Predictive technology modeling for scaled CMOS , 2009 .