Sustainable dual-level DVFS-enabled NoC with on-chip wireless links

Wireless Network-on-Chip (WiNoC) has emerged as an enabling technology to design low power and high bandwidth massive multi-core chips. The performance advantages mainly stem from using the wireless links as long-range shortcuts between far apart cores. This performance gain can be enhanced further if the characteristics of the wireline links and the processing cores of the WiNoC are optimized according to the traffic patterns and workloads. In this work, we demonstrate that by incorporating both processor- and network-level dynamic voltage and frequency scaling (DVFS) in a WiNoC, the power and thermal profiles can be enhanced without a significant impact on the overall execution time. We also show that depending on the benchmark applications, temperature hotspots can be formed either in the processing core or in the network infrastructure. The proposed dual-level DVFS is capable of addressing both.

[1]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[2]  Radu Marculescu,et al.  Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip , 2012, JETC.

[3]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[4]  Saurabh Dighe,et al.  Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[5]  Fabien Clermidy,et al.  An asynchronous power aware and adaptive NoC based circuit , 2008, 2008 IEEE Symposium on VLSI Circuits.

[6]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[7]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[8]  Partha Pratim Pande,et al.  DVFS-enabled sustainable wireless NoC architecture , 2012, 2012 IEEE International SOC Conference.

[9]  Partha Pratim Pande,et al.  Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

[10]  Radu Marculescu,et al.  Variation-adaptive feedback control for networks-on-chip with multiple clock domains , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[11]  Niraj K. Jha,et al.  Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Kevin Skadron,et al.  A Case for Thermal-Aware Floorplanning at the Microarchitectural Level , 2005, J. Instr. Level Parallelism.

[13]  N.K. Jha,et al.  Temperature-Aware On-Chip Networks , 2006, IEEE Micro.

[14]  D. Marculescu,et al.  Speed and voltage selection for GALS systems based on voltage/frequency islands , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[15]  K. Kempa,et al.  Carbon Nanotubes as Optical Antennae , 2007 .

[16]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[17]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[18]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[19]  Christian Bienia,et al.  Benchmarking modern multiprocessors , 2011 .

[20]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Li Shang,et al.  Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[22]  David Z. Pan,et al.  A Voltage-Frequency Island Aware Energy Optimization Framework for Networks-on-Chip , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[23]  A. Biberman,et al.  Ultrahigh-Bandwidth Silicon Photonic Nanowire Waveguides for On-Chip Networks , 2008, IEEE Photonics Technology Letters.