Hierarchical design of a low power standing wave oscillator based clock distribution network

This paper introduces a hierarchical clock interconnection network with two-level bufferless standing wave resonant clock distribution to minimize the clock power consumption in a synchronous system. The first level is a serpentine network which consists of many coupled standing wave oscillators to distribute clock signals in the whole chip area. The second level is a group of fishbone architectures connected to the standing wave oscillators to route clock signals in the local areas. A clock synthesis flow for the fishbone architecture is also introduced to enable design automation. This fishbone architecture is studied through a pipelined floating-point fused multiply-add module under 28nm standard CMOS process. Simulation results show that, this architecture can reduce more than 30% clock power consumption compared with a traditional buffered clock network.

[1]  Hannu Tenhunen,et al.  Concurrent chip package design for global clock distribution network using standing wave approach , 2005, Sixth international symposium on quality electronic design (isqed'05).

[2]  Matthew R. Guthaus,et al.  Distributed LC Resonant Clock Grid Synthesis , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[3]  Sunil P. Khatri,et al.  Clock Distribution Scheme using Coplanar Transmission Lines , 2008, 2008 Design, Automation and Test in Europe.

[4]  Sunil P. Khatri,et al.  Interconnected Tile Standing Wave Resonant Oscillator Based Clock Distribution Circuits , 2011, 2011 24th Internatioal Conference on VLSI Design.

[5]  Mamoru Sasaki A 9.5GHz 6ps-Skew Space-Filling-Curve Clock Distribution with 1.8V Full-Swing Standing-Wave Oscillators , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[6]  K.L. Shepard,et al.  Uniform-phase uniform-amplitude resonant-load global clock distributions , 2005, IEEE Journal of Solid-State Circuits.

[7]  Matthew R. Guthaus,et al.  Distributed resonant clock grid synthesis (ROCKS) , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Vinayak Honkote Design Automation and Analysis of Resonant Rotary Clocking Technology , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[9]  Matthew R. Guthaus,et al.  Library-aware resonant clock synthesis (LARCS) , 2012, DAC Design Automation Conference 2012.

[10]  Vinayak Honkote,et al.  Custom rotary clock router , 2008, 2008 IEEE International Conference on Computer Design.

[11]  K.A. Jenkins,et al.  The clock distribution of the Power4 microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[12]  Matthew R. Guthaus,et al.  A methodology for local resonant clock synthesis using LC-assisted local clock buffers , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  Baris Taskin,et al.  High-Performance, Low-Power Resonant Clocking: Embedded tutorial , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[14]  Brian K. Flachs,et al.  A Resonant Global Clock Distribution for the Cell Broadband Engine Processor , 2009, IEEE Journal of Solid-State Circuits.

[15]  M. Sasaki A High-Frequency Clock Distribution Network Using Inductively Loaded Standing-Wave Oscillators , 2009, IEEE Journal of Solid-State Circuits.

[16]  Brian K. Flachs,et al.  A Resonant Global Clock Distribution for the Cell Broadband-Engine Processor , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[17]  Frank O'Mahony,et al.  A 10-GHz global clock distribution using coupled standing-wave oscillators , 2003 .