Variation-Tolerant and Low-Power Source-Synchronous Multicycle On-Chip Interconnect Scheme
暂无分享,去创建一个
Yehea I. Ismail | Vivek De | Muhammad M. Khellah | Maged Ghoneima | V. De | M. Khellah | Y. Ismail | M. Ghoneima
[1] Yehea Ismail,et al. Optimum positioning of interleaved repeaters in bidirectional buses , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] Takayasu Sakurai,et al. Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .
[3] Wentai Liu,et al. A hybrid current/voltage mode on-chip signaling scheme with adaptive bandwidth capability , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Anantha Chandrakasan,et al. Three-dimensional integrated circuits: performance, design methodology, and CAD tools , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
[5] Payman Zarkesh-Ha,et al. Interconnect opportunities for gigascale integration , 2002, IBM J. Res. Dev..
[6] Hiroto Yasuura,et al. A bus delay reduction technique considering crosstalk , 2000, DATE '00.
[7] Louis Scheffer. Methodologies and tools for pipelined on-chip interconnect , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[8] Pasquale Cocchini. Concurrent flip-flop and repeater insertion for high performance integrated circuits , 2002, ICCAD 2002.
[9] Chih-Kong Ken Yang,et al. Jitter optimization based on phase-locked loop design parameters , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[10] William J. Dally,et al. Digital systems engineering , 1998 .
[11] Andrew B. Kahng,et al. Interconnect tuning strategies for high-performance ICs , 1998, DATE.
[12] Massoud Pedram,et al. A new design of double edge triggered flip-flops , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.
[13] Bryan Black,et al. 3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[14] T. Sakurai,et al. Two schemes to reduce interconnect delay in bi-directional and uni-directional buses , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).
[15] John A. McNeill. Jitter in ring oscillators , 1997 .
[16] Pradeep Dubey,et al. Platform 2015: Intel ® Processor and Platform Evolution for the Next Decade , 2005 .
[17] Cheng-Kok Koh,et al. Flip-flop and repeater insertion for early interconnect planning , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[18] Alberto L. Sangiovanni-Vincentelli,et al. Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[19] J.D. Meindl,et al. Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.
[20] Yehea I. Ismail,et al. A skewed repeater bus architecture for on-chip energy reduction in microprocessors , 2005, 2005 International Conference on Computer Design.
[21] Christer Svensson,et al. Timing closure through a globally synchronous, timing partitioned design methodology , 2004, Proceedings. 41st Design Automation Conference, 2004..