BEOL stack-aware routability prediction from placement using data mining techniques

In advanced technology nodes, physical design engineers must estimate whether a standard-cell placement is routable (before invoking the router) in order to maintain acceptable design turnaround time. Modern SoC designs consume multiple compute servers, memory, tool licenses and other resources for several days to complete routing. When the design is unroutable, resources are wasted, which increases the design cost. In this work, we develop machine learning-based models that predict whether a placement solution is routable without conducting trial or early global routing. We also use our models to accurately predict iso-performance Pareto frontiers of utilization, aspect ratio and number of layers in the back-end-of-line (BEOL) stack. Furthermore, using data mining and machine learning techniques, we develop new methodologies to generate training examples given very few placements. We conduct validation experiments in three foundry technologies (28nm FDSOI, 28nm LP and 45nm GS), and demonstrate accuracy ≥ 85.9% in predicting routability of a placement. Our predictions of Pareto frontiers in the three technologies are pessimistic by at most 2% with respect to the maximum achievable utilization for a given design in a given BEOL stack.

[1]  Hans C. van Houwelingen,et al.  The Elements of Statistical Learning, Data Mining, Inference, and Prediction. Trevor Hastie, Robert Tibshirani and Jerome Friedman, Springer, New York, 2001. No. of pages: xvi+533. ISBN 0‐387‐95284‐5 , 2004 .

[2]  Ting-Chi Wang,et al.  Region-Based and Panel-Based Algorithms for Unroutable Placement Recognition , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Andrew B. Kahng,et al.  Wiring layer assignments with consistent stage delays , 2000, SLIP '00.

[4]  Andrew B. Kahng,et al.  Methodology from chaos in IC implementation , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[5]  Zhongdong Qi,et al.  Accurate prediction of detailed routing congestion using supervised data learning , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[6]  Patrick Groeneveld,et al.  Probabilistic congestion prediction , 2004, ISPD '04.

[7]  Tao Huang,et al.  Ripple: An effective routability-driven placer by iterative cell movement , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Cheng-Kok Koh,et al.  A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[9]  Ashutosh Kumar Singh,et al.  The Elements of Statistical Learning: Data Mining, Inference, and Prediction , 2010 .

[10]  Jarrod A. Roy,et al.  Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Ulrich Brenner,et al.  An effective congestion driven placement framework , 2002, ISPD '02.

[12]  Andrew B. Kahng,et al.  Measurement of inherent noise in EDA tools , 2002, Proceedings International Symposium on Quality Electronic Design.

[13]  Andrew B. Kahng,et al.  On wirelength estimations for row-based placement , 1998, ISPD '98.

[14]  Andrew B. Kahng,et al.  Toward accurate models of achievable routing , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Andrew B. Kahng,et al.  Requirements for models of achievable routing , 2000, ISPD '00.

[16]  Zhongdong Qi,et al.  An accurate detailed routing routability prediction model in placement , 2015, 2015 6th Asia Symposium on Quality Electronic Design (ASQED).

[17]  Rent Parameter Evaluation Using Different Methods V 2 . 2-2008 , .

[18]  Yao-Wen Chang,et al.  Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[19]  Cheng-Kok Koh,et al.  Guiding global placement with wire density , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[20]  Chris C. N. Chu,et al.  IPR: An Integrated Placement and Routing Algorithm , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[21]  Jin Hu,et al.  A SimPLR method for routability-driven placement , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[22]  Taraneh Taghavi,et al.  New placement prediction and mitigation techniques for local routing congestion , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[23]  Majid Sarrafzadeh,et al.  Multi-center congestion estimation and minimization during placement , 2000, ISPD '00.

[24]  Andrew B. Kahng,et al.  Accurate pseudo-constructive wirelength and congestion estimation , 2003, SLIP '03.

[25]  Peter Spindler,et al.  Fast and Accurate Routing Demand Estimation for Efficient Routability-driven Placement , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[26]  Keith A. Bowman,et al.  Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI) , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[27]  Dirk Stroobandt,et al.  Recent Advances in System-Level Interconnect Prediction. , 2000 .

[28]  Sachin S. Sapatnekar,et al.  GLARE: Global and local wiring aware routability evaluation , 2012, DAC Design Automation Conference 2012.

[29]  Vittorio Zaccaria,et al.  ReSPIR: A Response Surface-Based Pareto Iterative Refinement for Application-Specific Design Space Exploration , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Yao-Wen Chang,et al.  Routability-driven analytical placement for mixed-size circuit designs , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[31]  Azadeh Davoodi,et al.  Planning for local net congestion in global routing , 2013, ISPD '13.

[32]  Ting-Chi Wang,et al.  A study on unroutable placement recognition , 2014, ISPD '14.

[33]  Azadeh Davoodi,et al.  Congestion analysis for global routing via integer programming , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[34]  Yuan Xie,et al.  Fabrication Cost Analysis and Cost-Aware Design Space Exploration for 3-D ICs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[35]  Patrick Groeneveld,et al.  Is probabilistic congestion estimation worthwhile? , 2005, SLIP '05.

[36]  Shantanu Dutt,et al.  Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control , 2002, DAC '02.

[37]  Tao Huang,et al.  Ripple 2.0: High quality routability-driven placement via global router integration , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).