Flexible Signal Processing Platform Chip for Software Defined Radio with 103 GOPS Dynamic Reconf1gurable Logic Cores

Software defined radio (SDR) is expected to be a progressive technology for wireless communications under multi-communication systems. SDR requires high performance, low power consumption, and short latency hardware. We have developed a single-chip baseband processing LSI for SDR based on a hybrid architecture of coarse-grain reconfigurable logic cores and flexible accelerator modules to achieve the required features. The maximum performance is 103 GOPS. Moreover, we implemented IEEE 802.11a and IEEE 802.11b, and show the effectiveness in latency.

[1]  Jürgen Becker,et al.  Reconfigurable processor architectures for mobile phones , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[2]  Yuki Sakai,et al.  An Evaluation Board for Software Defined Radio , 2005 .

[3]  Seth Copen Goldstein,et al.  PipeRench: a co/processor for streaming multimedia acceleration , 1999, ISCA.

[4]  Shirato Yushi,et al.  Software defined radio prototype for PHS and IEEE 802.11 wireless LAN , 2002 .

[5]  H. Harada Software defined radio prototype for W-CDMA and IEEE802.11a wireless LAN , 2004, IEEE 60th Vehicular Technology Conference, 2004. VTC2004-Fall. 2004.

[6]  Jürgen Becker,et al.  Design and implementation of a coarse-grained dynamically reconfigurable hardware architecture , 2001, Proceedings IEEE Computer Society Workshop on VLSI 2001. Emerging Technologies for VLSI Systems.

[7]  W. Scherr,et al.  Configurable computing architectures for wireless and software defined radio - a FPGA prototyping experience using high level design-tool-chains , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..

[8]  Tomoyoshi Sato DAPDNA-2 a dynamically reconfigurable processor with 376 32-bit processing elements , 2005, 2005 IEEE Hot Chips XVII Symposium (HCS).

[9]  Rudy Lauwereins,et al.  Exploiting Loop-Level Parallelism on Coarse-Grained Reconfigurable Architectures Using Modulo Scheduling , 2003, DATE.

[10]  Trudy D. Stetzler,et al.  DSP-based architectures for mobile communications: past, present and future , 2000, IEEE Commun. Mag..

[11]  Kyoung-Rok Cho,et al.  A DSP-Based Reconfigurable SDR Platform for 3G Systems , 2005, IEICE Trans. Commun..

[12]  Kiyoshi Kobayashi,et al.  Design and Performance Evaluation of IEEE 802.11a SDR Software Implemented on a Reconfigurable Processor , 2005, IEICE Trans. Commun..

[13]  MitolaJ. Software radio architecture , 1999 .

[14]  Joseph Mitola,et al.  The software radio architecture , 1995, IEEE Commun. Mag..

[15]  Hideharu Amano,et al.  Stream applications on the dynamically reconfigurable processor , 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

[16]  Nobuo Ujiie,et al.  Cluster architecture for reconfigurable signal processing engine for wireless communication , 2005, International Conference on Field Programmable Logic and Applications, 2005..

[17]  Rupert Baines,et al.  A total cost approach to evaluating different reconfigurable architectures for baseband processing in wireless receivers , 2003, IEEE Commun. Mag..