Design of low-power, scalable-throughput systems at near/sub threshold voltage

Voltage scaling has been a prevalent method of saving energy for energy constrained applications. However, voltage scaling along with shrinking process technologies exacerbate process variation effects on transistor. Large variation in transistor parameters, result in high variation in performance and power across the chip. These effects if ignored at the stage of designing will result into unpredictable behavior when deployed in the actual field. In this paper, we leverage the benefits of voltage scaling methodology for obtaining energy efficiency and compensate for the loss in throughput by exploiting parallelism present in the various DSP designs. To achieve scalable throughput, we depend on both dynamic voltage scaling with a few operating voltage options and active unit scaling, where the number of active parallel units is reduced using power gating. We show that such hybrid method consumes 8%-77% less power compared to simple dynamic voltage scaling over different throughputs. We study this system architecture in two different workload environments, one static and one dynamic. In the former, the desired target throughput is predetermined and fixed and in the latter, it can be changed dynamically. We show that to achieve highest level of energy efficiency, the number of cores and the operating voltages vary widely between a base designs versus a process variation aware (PVA) design. We further show that the PVA design enjoys an average of 26.9% and 51.1% reduction in energy consumption for the static and dynamic designs respectively over six different DSP applications. This is because the base design needs to compensate for the effects of process variation as an after fact, while the PVA is able to make suitable decisions at the time of the design.

[1]  Federico Silla,et al.  A methodology for the characterization of process variation in NoC links , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[2]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[3]  David Blaauw,et al.  Energy optimization of subthreshold-voltage sensor network processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[4]  Kevin Skadron,et al.  Impact of Process Variations on Multicore Performance Symmetry , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[5]  Michael B. Henry,et al.  From transistors to MEMS: Throughput-aware power gating in CMOS circuits , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[6]  Gu-Yeon Wei,et al.  A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation , 2011, 2011 IEEE International Solid-State Circuits Conference.

[7]  David Blaauw,et al.  Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  James D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.

[9]  A. Chandrakasan,et al.  A 180-mV subthreshold FFT processor using a minimum energy design methodology , 2005, IEEE Journal of Solid-State Circuits.

[10]  Michael B. Henry,et al.  Hybrid Super/Subthreshold Design of a Low Power Scalable-Throughput FFT Architecture , 2011, Trans. High Perform. Embed. Archit. Compil..

[11]  Keith A. Bowman,et al.  Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[12]  David Blaauw,et al.  Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..