A method for diagnosing implementation errors in synchronous sequential circuits and its implications on synthesis

The authors consider the problem of diagnosing implementation errors in synchronous sequential circuits described by state tables. The diagnosis problem is formulated so as to provide the erroneously implemented entries of the state table, which are useful for the purposes of debugging the synthesis procedure. The diagnosis procedure developed is not limited to a specific error model and no bound is set on error multiplicity. Experimental results are presented to show the effectiveness of this procedure. The experiments indicate that state tables with certain properties make their implementations more amenable to diagnosis than others. These properties are used as guidelines for synthesis.<<ETX>>

[1]  Irith Pomeranz,et al.  On achieving a complete fault coverage for sequential machines using the transition fault model , 1991, 28th ACM/IEEE Design Automation Conference.

[2]  Seh-Woong Jeong,et al.  ATPG aspects of FSM verification , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[3]  Kurt Keutzer,et al.  An automata-theoretic approach to behavioral equivalence , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[4]  Robert K. Brayton,et al.  Implicit state enumeration of finite state machines using BDD's , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[5]  Srinivas Devadas,et al.  Implicit state transition graphs: applications to sequential logic synthesis and test , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[6]  Ibrahim N. Hajj,et al.  ACCORD : Automatic Catching and Correction of Logic Design Errors in Combinational Circuits , 1992, Proceedings International Test Conference 1992.

[7]  Olivier Coudert,et al.  Automating the diagnosis and the rectification of design errors with PRIAM , 1989, ICCAD 1989.

[8]  Masahiro Tomita,et al.  An algorithm for locating logic design errors , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[9]  Olivier Coudert,et al.  A unified framework for the formal verification of sequential circuits , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[10]  Jacob A. Abraham,et al.  Probabilistic design verification , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[11]  Srinivas Devadas,et al.  On the verification of sequential machines at differing levels of abstraction , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Sharad Malik,et al.  Verification of asynchronous interface circuits with bounded wire delays , 1994, J. VLSI Signal Process..

[13]  Sy-Yen Kuo,et al.  Locating logic design errors via test generation and don't-care propagation , 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

[14]  Olivier Coudert,et al.  A unified framework for the formal verification of sequential circuits , 1990, ICCAD 1990.

[15]  K. A. Tamura,et al.  Locating Functional Errors in Logic Circuits , 1989, 26th ACM/IEEE Design Automation Conference.