Placement mitigation techniques for power grid electromigration
暂无分享,去创建一个
David Z. Pan | Xiaoqing Xu | Yongsheng Sun | Wei Ye | Yibo Lin | Yiwei Fu | Wuxi Li | Canhui Zhan | D. Pan | Yibo Lin | Wei Ye | Xiaoqing Xu | Yongsheng Sun | Wuxi Li | Canhui Zhan | Yiwei Fu
[1] Éva Tardos,et al. Algorithm design , 2005 .
[2] Andrew B. Kahng,et al. Optimal partitioners and end-case placers for standard-cell layout , 1999, ISPD '99.
[3] Natarajan Viswanathan,et al. ICCAD-2013 CAD contest in placement finishing and benchmark suite , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[4] Evangeline F. Y. Young,et al. Cell density-driven detailed placement with displacement constraint , 2014, ISPD '14.
[5] Jens Vygen. Algorithms for detailed placement of standard cells , 1998, Proceedings Design, Automation and Test in Europe.
[6] David Z. Pan,et al. Methodology for standard cell compliance and detailed placement for triple patterning lithography , 2013, ICCAD 2013.
[7] Andrew B. Kahng,et al. Optimization of linear placements for wirelength minimization with free sites , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
[8] J. Vygen,et al. Faster optimal single-row placement with fixed ordering , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).
[9] Igor L. Markov,et al. MAPLE: multilevel adaptive placement for mixed-size designs , 2012, ISPD '12.
[10] Chenming Hu,et al. Projecting interconnect electromigration lifetime for arbitrary current waveforms , 1990 .
[11] Cheng-Kok Koh,et al. A parallel branch-and-cut approach for detailed placement , 2011, TODE.
[12] Chris C. N. Chu,et al. An efficient and effective detailed placement algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[13] Andrew B. Kahng,et al. Scalable detailed placement legalization for complex sub-14nm constraints , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[14] Meng-Kai Hsu,et al. Design and manufacturing process co-optimization in nano-technology , 2014, ICCAD.
[15] Myung-Chul Kim,et al. ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite: Special session paper: CAD contest , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[16] David Z. Pan,et al. MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[17] Cheng-Kok Koh,et al. Mixed integer programming models for detailed placement , 2012, ISPD '12.
[18] Yih-Lang Li,et al. Density-aware detailed placement with instant legalization , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[19] David Z. Pan,et al. Stitch aware detailed placement for multiple e-beam lithography , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[20] Sachin S. Sapatnekar,et al. Handbook of Algorithms for Physical Design Automation , 2008 .
[21] Taraneh Taghavi,et al. New placement prediction and mitigation techniques for local routing congestion , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).