On-the-Field Test and Configuration Infrastructure for 2-D-Mesh NoCs in Shared-Memory Many-Core Architectures

This paper addresses the important issue of fault tolerance in network-on-chip (NoC) and presents an on-the-field test and configuration infrastructure for a 2-D-mesh NoC, which can be used in many generic shared-memory many-core tiled architectures and MPSoCs. This paper also details all the hardware and software means needed to: 1) initialize the NoC in a clean state (self-deactivation of faulty NoC components using a controlled built-in self-test strategy) and 2) set up a distributed collaborative configuration infrastructure that can be used to make the chip autonomously determine, during its initialization, the operational degraded architecture, identify and bypass black holes. Experimental results prove that the approach is effective and lightweight in terms of additional software and hardware resources.

[1]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[2]  Valentin Puente,et al.  Immunet: a cheap and robust fault-tolerant packet routing mechanism , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[3]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[4]  Michele Favalli,et al.  Exploiting Network-on-Chip structural redundancy for a cooperative and scalable built-in self-test architecture , 2011, 2011 Design, Automation & Test in Europe.

[5]  Diana Adler Delay Fault Testing For Vlsi Circuits , 2016 .

[6]  Alain Greiner,et al.  Bi-Synchronous FIFO for Synchronous Circuit Communication Well Suited for Network-on-Chip in GALS Architectures , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[7]  Vincent Beroulle,et al.  A DFT Architecture for Asynchronous Networks-on-Chip , 2006, Eleventh IEEE European Test Symposium (ETS'06).

[8]  Ye Zhang,et al.  Cost-Effective Power-Aware Core Testing in NoCs Based on a New Unicast-Based Multicast Scheme , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Wen-Chung Shen,et al.  Fault-tolerant router with built-in self-test/self-diagnosis and fault-isolation circuits for 2D-mesh based chip multiprocessor systems , 2009, 2009 International Symposium on VLSI Design, Automation and Test.

[10]  Martin Radetzki,et al.  Fault-tolerant architecture and deflection routing for degradable NoC switches , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[11]  Jari Nurmi,et al.  Fault-tolerant 2-D Mesh Network-On-Chip for MultiProcessor Systems-on-Chip , 2006, 2006 IEEE Design and Diagnostics of Electronic Circuits and systems.

[12]  Alexandre M. Amory,et al.  A scalable test strategy for network-on-chip routers , 2005, IEEE International Conference on Test, 2005..

[13]  Michael Nicolaidis,et al.  Enhanced self-configurability and yield in multicore grids , 2009, 2009 15th IEEE International On-Line Testing Symposium.

[14]  Zainalabedin Navabi,et al.  A concurrent testing method for NoC switches , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[15]  David Blaauw,et al.  Vicis: A reliable network for unreliable silicon , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[16]  Raimund Ubar,et al.  Test Configurations for Diagnosing Faulty Links in NoC Switches , 2007, 12th IEEE European Test Symposium (ETS'07).

[17]  Partha Pratim Pande,et al.  Methodologies and algorithms for testing switch-based NoC interconnects , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[18]  R. Ubar,et al.  An External Test Approach for Network-on-a-Chip Switches , 2006, 2006 15th Asian Test Symposium.

[19]  Giovanni De Micheli,et al.  Design, synthesis, and test of networks on chips , 2005, IEEE Design & Test of Computers.

[20]  Alain Greiner,et al.  A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[21]  Yervant Zorian,et al.  Embedded Processor-Based Self-Test , 2004 .

[22]  J. Raik,et al.  Low-area boundary BIST architecture for mesh-like network-on-chip , 2012, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

[23]  Michele Favalli,et al.  System-level infrastructure for boot-time testing and configuration of networks-on-chip with programmable routing logic , 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.

[24]  Steve B. Furber Living with Failure: Lessons from Nature? , 2006, ETS.

[25]  Raimund Ubar,et al.  Testing Strategies for Networks on Chip , 2003, Networks on Chip.

[26]  Kees G. W. Goossens,et al.  Bringing communication networks on a chip: test and verification implications , 2003, IEEE Commun. Mag..

[27]  Alexandre M. Amory,et al.  Redefining and testing interconnect faults in Mesh NoCs , 2007, 2007 IEEE International Test Conference.

[28]  Marcelo Lubaszewski,et al.  Improving yield of torus nocs through fault-diagnosis-and-repair of interconnect faults , 2009, 2009 15th IEEE International On-Line Testing Symposium.

[29]  Zainalabedin Navabi,et al.  Using the Inter- and Intra-Switch Regularity in NoC Switch Testing , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[30]  Johnny Öberg,et al.  Toward a Scalable Test Methodology for 2D-mesh Network-on-Chips , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[31]  Alexandre M. Amory,et al.  A High-Fault-Coverage Approach for the Test of Data, Control and Handshake Interconnects in Mesh Networks-on-Chip , 2008, IEEE Transactions on Computers.

[32]  Marcelo Lubaszewski,et al.  Diagnosis of interconnect shorts in mesh NoCs , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[33]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[34]  Fabien Clermidy,et al.  Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture , 2008 .

[35]  Ad J. van de Goor,et al.  Using March Tests to Test SRAMs , 1993, IEEE Des. Test Comput..

[36]  Sujit Dey,et al.  Software-based self-testing methodology for processor cores , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..