Research and practices on 3D networks-on-chip architectures
暂无分享,去创建一个
[1] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[2] R.W. Dutton,et al. Thermal Modeling and Device Noise Properties of Three-Dimensional–SOI Technology , 2009, IEEE Transactions on Electron Devices.
[3] Sachin S. Sapatnekar,et al. Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD.
[4] Bill Lin,et al. A Layer-Multiplexed 3D On-Chip Network Architecture , 2009, IEEE Embedded Systems Letters.
[5] Alain Greiner,et al. A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.
[6] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[7] Philip G. Emma,et al. Interconnects in the Third Dimension: Design Challenges for 3D ICs , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[8] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[9] Sudeep Pasricha,et al. Exploring serial vertical interconnects for 3D ICs , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[10] Robert S. Patti,et al. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.
[11] Kia Bazargan,et al. Placement and routing in 3D integrated circuits , 2005, IEEE Design & Test of Computers.
[12] Hannu Tenhunen,et al. BBVC-3D-NoC: An Efficient 3D NoC Architecture Using Bidirectional Bisynchronous Vertical Channels , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.
[13] Radu Marculescu. Networks-on-chip: the quest for on-chip fault-tolerant communication , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
[14] Martin Burtscher,et al. Bridging the processor-memory performance gap with 3D IC technology , 2005, IEEE Design & Test of Computers.
[15] Partha Pratim Pande,et al. A scalable communication-centric SoC interconnect architecture , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[16] Krisztián Flautner,et al. PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor , 2006, ASPLOS XII.
[17] Dhiraj K. Pradhan,et al. Reliable network-on-chip based on generalized de Bruijn graph , 2007, 2007 IEEE International High Level Design Validation and Test Workshop.
[18] J. Meindl,et al. Wafer-level microfluidic cooling interconnects for GSI , 2005, Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005..
[19] Rajeev Balasubramonian,et al. Leveraging 3D Technology for Improved Reliability , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[20] Alexander Wei Yin,et al. Explorations of Honeycomb Topologies for Network-on-Chip , 2009, 2009 Sixth IFIP International Conference on Network and Parallel Computing.
[21] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[22] Jason Cong,et al. Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[23] Kinam Kim,et al. Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node , 2006, 2006 International Electron Devices Meeting.
[24] Feng Li,et al. Evaluation of SiO/sub 2/ antifuse in a 3D-OTP memory , 2004, IEEE Transactions on Device and Materials Reliability.
[25] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[26] Byung-Il Ryu,et al. Highly area efficient and cost effective double stacked S/sup 3/ (stacked single-crystal Si) peripheral CMOS SSTFT and SRAM cell technology for 512M bit density SRAM , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[27] Luca P. Carloni,et al. Networks-on-chip in emerging interconnect paradigms: Advantages and challenges , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[28] Gabriel H. Loh,et al. Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[29] Luca Benini,et al. SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, DATE.
[30] Rajiv V. Joshi,et al. Three dimensional CMOS devices and integrated circuits , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[31] Li Shang,et al. Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[32] Feng Li,et al. Evaluation of SiO Antifuse in a 3D-OTP Memory , 2004 .
[33] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[34] Narayanan Vijaykrishnan,et al. Three-dimensional cache design exploration using 3DCacti , 2005, 2005 International Conference on Computer Design.
[35] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[36] Luca Benini,et al. Synthesis of networks on chips for 3D systems on chips , 2009, 2009 Asia and South Pacific Design Automation Conference.
[37] Ronald I. Greenberg,et al. An improved analytical model for wormhole routed networks with application to butterfly fat-trees , 1997, Proceedings of the 1997 International Conference on Parallel Processing (Cat. No.97TB100162).
[38] Chita R. Das,et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.
[39] Jun Yang,et al. A low-radix and low-diameter 3D interconnection network design , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[40] Krste Asanovic,et al. Replacing global wires with an on-chip network: a power analysis , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[41] Jianhao Hu,et al. De Bruijn graph based 3D Network on Chip architecture design , 2009, 2009 International Conference on Communications, Circuits and Systems.
[42] Axel Jantsch,et al. Networks on chip , 2003 .
[43] Nisha Checka,et al. Technology, performance, and computer-aided design of three-dimensional integrated circuits , 2004, ISPD '04.
[44] Narayanan Vijaykrishnan,et al. Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[45] Lei Jiang,et al. Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[46] Chita R. Das,et al. MIRA: A Multi-layered On-Chip Interconnect Router Architecture , 2008, 2008 International Symposium on Computer Architecture.
[47] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[48] Partha Pratim Pande,et al. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.
[49] Bryan Black,et al. 3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[50] Hideharu Amano,et al. Tightly-Coupled Multi-Layer Topologies for 3-D NoCs , 2007, 2007 International Conference on Parallel Processing (ICPP 2007).
[51] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[52] Chita R. Das,et al. A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[53] W. Maly,et al. 2.5D system integration: a design driven system implementation schema , 2004 .
[54] J.W. Joyner,et al. A stochastic global net-length distribution for a three-dimensional system-on-a-chip (3D-SoC) , 2001, Proceedings 14th Annual IEEE International ASIC/SOC Conference (IEEE Cat. No.01TH8558).