Nonvolatile CBRAM-Crossbar-Based 3-D-Integrated Hybrid Memory for Data Retention

This paper explores the design of 3-D-integrated hybrid memory by conductive-bridge random-access-memory (CBRAM). Considering internal states, height, and radius of the conductive bridge of one CBRAM device, an accurate CBRAM device model is developed for CBRAM-crossbar-based nonvolatile memory design with efficient estimation of area, access time, and power. Based on this design platform, one 3-D-integrated hybrid memory is designed by stacking one tier of CBRAMcrossbar with tiers of static random access memory (SRAM) and dynamic random access memory (DRAM), where the tier of CBRAM-crossbar is deployed for data retention during power gating of SRAM/DRAM tiers. One corresponding block-level data retention is developed to only write back dirty data from SRAM/DRAM to CBRAM-crossbar. When compared with phase-change random-access-memory-based system-level data retention, our design achieves 11× faster data-migration speed and 10× less data-migration power. When compared with ferroelectric random-access-memory-based bit-level data retention, our design also achieves 17× smaller area and 56× smaller power under the same data-migration speed.

[1]  An Chen,et al.  Variability of resistive switching memories and its impact on crossbar array performance , 2011, 2011 International Reliability Physics Symposium.

[2]  T. Hasegawa,et al.  Electronic transport in Ta2O5 resistive switch , 2007 .

[3]  Yoshihiro Ueda,et al.  A 64Mb MRAM with clamped-reference and adequate-reference schemes , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[4]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[5]  Xiaoxia Wu,et al.  Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.

[6]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[7]  Cong Xu,et al.  Design implications of memristor-based RRAM cross-point structures , 2011, 2011 Design, Automation & Test in Europe.

[8]  Hao Yu,et al.  A Nanoelectromechanical-Switch-Based Thermal Management for 3-D Integrated Many-Core Memory-Processor System , 2012, IEEE Transactions on Nanotechnology.

[9]  Chun Zhang,et al.  Thermal-reliable 3D clock-tree synthesis considering nonlinear electrical-thermal-coupled TSV model , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[10]  M. Kozicki,et al.  Quantized Conductance in $\hbox{Ag/GeS}_{2}/\hbox{W}$ Conductive-Bridge Memory Cells , 2012, IEEE Electron Device Letters.

[11]  Yuan Xie,et al.  PCRAMsim: System-level performance, energy, and area modeling for Phase-Change RAM , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[12]  Wei Hwang,et al.  Distributed data-retention power gating techniques for column and row co-controlled embedded SRAM , 2005, 2005 IEEE International Workshop on Memory Technology, Design, and Testing (MTDT'05).

[13]  Evert Seevinck,et al.  Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM's , 1991 .

[14]  William Song,et al.  Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[15]  Masahiro Koga,et al.  First Prototype of a Genuine Power-Gatable Reconfigurable Logic Chip with FeRAM Cells , 2010, 2010 International Conference on Field Programmable Logic and Applications.

[16]  R. Symanczyk,et al.  Conductive bridging RAM (CBRAM): an emerging non-volatile memory technology scalable to sub 20nm , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[17]  Y. Hwang,et al.  A 0.24-μm 2.0-V 1T1MTJ 16-kb nonvolatile magnetoresistance RAM with self-reference sensing scheme , 2003, IEEE J. Solid State Circuits.

[18]  Heng-Yuan Lee,et al.  A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability , 2011, 2011 IEEE International Solid-State Circuits Conference.

[19]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[20]  Yuan Xie,et al.  3D memory stacking for fast checkpointing/restore applications , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[21]  M. Haemori,et al.  Impact of Cu Electrode on Switching Behavior in a Cu/HfO2/Pt Structure and Resultant Cu Ion Diffusion , 2009 .

[23]  M. Kozicki,et al.  Bipolar and Unipolar Resistive Switching in Cu-Doped $ \hbox{SiO}_{2}$ , 2007, IEEE Transactions on Electron Devices.

[24]  Qi Liu,et al.  Nonpolar Nonvolatile Resistive Switching in Cu Doped $\hbox{ZrO}_{2}$ , 2008, IEEE Electron Device Letters.

[25]  Gerhard Müller,et al.  A Nonvolatile 2-Mbit CBRAM Memory Core Featuring Advanced Read and Program Control , 2007, IEEE Journal of Solid-State Circuits.

[26]  Hao Yu,et al.  An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[27]  Paul D. Franzon,et al.  Reconfigurable five-layer three-dimensional integrated memory-on-logic synthetic aperture radar processor , 2011, IET Comput. Digit. Tech..

[28]  D. Ielmini,et al.  Study of Multilevel Programming in Programmable Metallization Cell (PMC) Memory , 2009, IEEE Transactions on Electron Devices.

[29]  Narayan Srinivasa,et al.  A functional hybrid memristor crossbar-array/CMOS system for data storage and neuromorphic applications. , 2012, Nano letters.

[30]  Shimeng Yu,et al.  Compact Modeling of Conducting-Bridge Random-Access Memory (CBRAM) , 2011, IEEE Transactions on Electron Devices.

[31]  M. Kozicki,et al.  Programmable metallization cell memory based on Ag-Ge-S and Cu-Ge-S solid electrolytes , 2005, Symposium Non-Volatile Memory Technology 2005..

[32]  Chun Zhang,et al.  Design exploration of 3D stacked non-volatile memory by conductive bridge based crossbar , 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.

[33]  H. Hada,et al.  Nonvolatile Crossbar Switch Using $\hbox{TiO}_{x}/ \hbox{TaSiO}_{y}$ Solid Electrolyte , 2010, IEEE Transactions on Electron Devices.

[34]  Hao Yu,et al.  Analysis and Modeling of Internal State Variables for Dynamic Effects of Nonvolatile Memory Devices , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[35]  T. Sakamoto,et al.  A nonvolatile programmable solid-electrolyte nanometer switch , 2004, IEEE Journal of Solid-State Circuits.

[36]  Cheol Seong Hwang,et al.  A Pt/TiO2/Ti Schottky-type selection diode for alleviating the sneak current in resistance switching memory arrays , 2010, Nanotechnology.

[37]  Lizy K. John,et al.  Performance characterization of SPEC CPU benchmarks on intel's core microarchitecture based processor , 2007 .

[38]  G. Burr,et al.  Highly-scalable novel access device based on Mixed Ionic Electronic conduction (MIEC) materials for high density phase change memory (PCM) arrays , 2010, 2010 Symposium on VLSI Technology.

[39]  Jan M. Rabaey,et al.  SRAM leakage suppression by minimizing standby supply voltage , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[40]  Wei Zhang,et al.  Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  J. Jameson,et al.  Bipolar resistive switching in polycrystalline TiO2 films , 2007 .

[42]  Karin Strauss,et al.  Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.

[43]  Yi Ma,et al.  Demonstration of Conductive Bridging Random Access Memory (CBRAM) in logic CMOS process , 2011 .

[44]  Michael N. Kozicki,et al.  A macro model of programmable metallization cell devices , 2005 .

[45]  Hao Yu,et al.  SPICE simulator for hybrid CMOS memristor circuit and system , 2012, 2012 13th International Workshop on Cellular Nanoscale Networks and their Applications.

[46]  Yuhao Wang,et al.  Design exploration of ultra-low power non-volatile memory based on topological insulator , 2012, 2012 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

[47]  Jun Yang,et al.  Phase-Change Technology and the Future of Main Memory , 2010, IEEE Micro.

[48]  A. Suzuki,et al.  A 65nm low-power embedded DRAM with extended data-retention sleep mode , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[49]  Paul D. Franzon,et al.  Computing with Novel Floating-Gate Devices , 2011, Computer.

[50]  Paul Marchal,et al.  3D integration: Circuit design, test, and reliability challenges , 2010, 2010 IEEE 16th International On-Line Testing Symposium.

[51]  Wei Zhang,et al.  Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention , 2012, ISLPED '12.