Double patterning at NA 0.33 versus high-NA single exposure in EUV lithography: an imaging comparison

As minimum feature size shrinks to a metal pitch of 21 nm, the current extreme ultra violet (EUV) lithographic tool with a numeric aperture (NA) of 0.33 will face resolution limit for some critical layers. High NA (0.55) EUV with anamorphic optics or EUV double patterning (DP) at 0.33 NA are being considered for the next generation of lithographic technology. Both the high NA EUV system and EUV DP will enhance resolution relative to current EUV single patterning (SP). Nevertheless, in order to be able to compare EUV DP and High NA EUV processes, important lithographic factors including image contrast, mask three dimension (M3D) effects, process variation band, stochastic effects and local critical dimension uniformity need to be investigated to understand their contributions to process variations. This study was carried out using rigorous lithographic model simulations in Sentaurus Lithography, where strong M3D effects in EUVL are computed physically. We have simulated patterns with both isomorphic and anamorphic optical proximity corrections (OPC) using the rigorous model. The study focuses on 3nm node Via layer designs. These vias need to connect to metal features which have pitches of 21 nm. Simulation results using 0.33 NA SP, 0.33 NA DP, and 0.55 NA anamorphic SP are presented. The benefit of using an alternative mask absorber and a thinner resist as well as the impact of stochastic effects have also been explored. Although a 0.55 NA EUV is expected to produce a superior image to 0.33 NA EUV and to have less impact from overlay errors and stochastic effects, an analysis of process margins of 0.33 NA EUV SD and DP versus 0.55 NA anamorphic systems helps to better understand the benefits, challenges and optimal insertion point for introducing High-NA EUV.

[1]  Guangming Xiao,et al.  ILT optimization of EUV masks for sub-7nm lithography , 2017, European Mask and Lithography Conference.

[2]  Sudhar Raghunathan,et al.  Imaging impact of multilayer tuning in EUV masks, experimental validation , 2014, Photomask Technology.

[3]  Peter Debacker,et al.  Large marginal 2D self-aligned via patterning for sub-5nm technology , 2017, Advanced Lithography.

[4]  Gijsbert Rispens,et al.  Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography , 2017, Photomask Technology.

[5]  Kenneth A. Goldberg,et al.  New ways of looking at masks with the SHARP EUV microscope , 2015, Advanced Lithography.

[6]  Vicky Philipsen,et al.  Actinic characterization and modeling of the EUV mask stack , 2013, Other Conferences.

[7]  Peter Krabbendam,et al.  High-NA EUV lithography enabling Moore’s law in the next decade , 2017, Photomask Technology.

[8]  Winfried Kaiser,et al.  Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and reduction ratio , 2013, Advanced Lithography.

[9]  Tsann-Bim Chiou,et al.  Impact of EUV patterning scenario on different design styles and their ground rules for 7nm/5nm node BEOL layers , 2016, SPIE Advanced Lithography.

[10]  Gijsbert Rispens,et al.  High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond , 2017 .

[11]  Vicky Philipsen,et al.  Calibration and verification of a stochastic model for EUV resist , 2012, Advanced Lithography.

[12]  Bernhard Kneer,et al.  Anamorphic high-NA EUV lithography optics , 2015, European Mask and Lithography Conference.

[13]  Kenneth A. Goldberg,et al.  Emulation of anamorphic imaging on the SHARP EUV mask microscope , 2016, SPIE Advanced Lithography.

[14]  Suhyeong Choi,et al.  2D self-aligned via patterning strategy with EUV single-exposure in 3nm technology , 2017, Advanced Lithography.