Toward an Architectural Treatment of Parameter Variations
暂无分享,去创建一个
[1] Robert F. Pierret,et al. Semiconductor device fundamentals , 1996 .
[2] Mayan Moudgill,et al. Environment for PowerPC microarchitecture exploration , 1999, IEEE Micro.
[3] Emil Talpes,et al. Variability and energy awareness: a microarchitecture-level perspective , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[4] Kevin Skadron,et al. Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..
[5] Vivek De,et al. Design and reliability challenges in nanometer technologies , 2004, Proceedings. 41st Design Automation Conference, 2004..
[6] Yuan Taur,et al. Fundamentals of Modern VLSI Devices , 1998 .
[7] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[8] Krste Asanovic,et al. Reducing power density through activity migration , 2003, ISLPED '03.
[9] Sani R. Nassif,et al. Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.
[10] Chenming Hu,et al. Characterization of spatial intrafield gate CD variability, its impact on circuit performance, and spatial mask-level correction , 2004, IEEE Transactions on Semiconductor Manufacturing.
[11] Sachin S. Sapatnekar,et al. Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[12] Kevin Skadron,et al. Performance, energy, and thermal considerations for SMT and CMP architectures , 2005, 11th International Symposium on High-Performance Computer Architecture.
[13] Costas J. Spanos,et al. Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[14] Duane S. Boning,et al. Analysis and decomposition of spatial variation in integrated circuit processes and devices , 1997 .
[15] Yu Cao,et al. Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[16] K. Roy,et al. Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMs for yield enhancement , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[17] Kaushik Roy,et al. Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100nm technologies , 2005, Design, Automation and Test in Europe.
[18] Pradip Bose,et al. Microarchitecture-Level Power-Performance Simulators: Modeling, Validation, and Impact on Design , 2003 .
[19] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[20] Michael Gschwind,et al. New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors , 2003, IBM J. Res. Dev..
[21] Kevin Skadron,et al. Understanding the energy efficiency of simultaneous multithreading , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[22] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.