Data Backup Optimization for Nonvolatile SRAM in Energy Harvesting Sensor Nodes

Nonvolatile static random access memory (nvSRAM) has been widely investigated as a promising on-chip memory architecture in energy harvesting sensor nodes, due to zero standby power, resilience to power failures, and fast read/write operations. However, conventional approaches back up all data from static random access memory into nonvolatile memory when power failures happen. It leads to significant energy overhead and peak inrush current, which has a negative impact on the system performance and circuit reliability. This paper proposes a holistic data backup optimization to mitigate these problems in nvSRAM, consisting of a partial backup algorithm and a run-time adaptive write policy. A statistic dead-block predictor is employed to achieve dead block identification with trivial hardware overhead. An adaptive policy is used to switch between write-back and write-through strategy to reduce the rollback induced by backup failures. Experimental results show that the proposed scheme improves the performance by 4.6% on average while the backup power consumption and the inrush current are reduced by 38.1% and 54% on average compared to the full backup scheme. What is more, the backup capacitor size for energy buffer can be reduced by 40% on average under the same performance constraint.

[1]  D. Cox Prediction by Exponentially Weighted Moving Averages and Related Methods , 1961 .

[2]  Babak Falsafi,et al.  Dead-block prediction & dead-block correlating prefetchers , 2001, ISCA 2001.

[3]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[4]  Jaehyuk Huh,et al.  Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[5]  J.A.C. Theeuwes,et al.  Ambient RF Energy Scavenging: GSM and WLAN Power Density Measurements , 2008, 2008 38th European Microwave Conference.

[6]  Yan Solihin,et al.  Counter-Based Cache Replacement and Bypassing Algorithms , 2008, IEEE Transactions on Computers.

[7]  Bradford M. Beckmann,et al.  The gem5 simulator , 2011, CARN.

[8]  Heng-Yuan Lee,et al.  A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability , 2011, 2011 IEEE International Solid-State Circuits Conference.

[9]  Yiran Chen,et al.  Processor caches built using multi-level spin-transfer torque RAM cells , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[10]  Purushottam Kulkarni,et al.  Energy Harvesting Sensor Nodes: Survey and Implications , 2011, IEEE Communications Surveys & Tutorials.

[11]  Wenqing Wu,et al.  Multi retention level STT-RAM cache designs with a dynamic refresh scheme , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[12]  Yiran Chen,et al.  Utilizing PCM for Energy Optimization in Embedded Systems , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[13]  Meng-Fan Chang,et al.  Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and SRAM With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications , 2012, IEEE Journal of Solid-State Circuits.

[14]  Huazhong Yang,et al.  A compression-based area-efficient recovery architecture for nonvolatile processors , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[15]  Yuan Xie,et al.  Point and discard: A hard-error-tolerant architecture for non-volatile last level caches , 2012, DAC Design Automation Conference 2012.

[16]  Bo Zhao,et al.  A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

[17]  H. Ohno,et al.  A 1 Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell With 32 b Fine-Grained Power Gating Scheme , 2013, IEEE Journal of Solid-State Circuits.

[18]  Huazhong Yang,et al.  SPaC: A segment-based parallel compression for backup acceleration in nonvolatile processors , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[19]  Youn-Long Lin,et al.  Power-Up Sequence Control for MTCMOS Designs , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Kiyoung Choi,et al.  DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

[21]  Yusuke Shuto,et al.  Comparative study of power-gating architectures for nonvolatile SRAM cells based on spintronics technology , 2014, 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

[22]  Meng-Fan Chang,et al.  Leveraging data lifetime for energy-aware last level non-volatile SRAM caches using redundant store elimination , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[23]  Rong Luo,et al.  Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[24]  Wei Zhang,et al.  Nonvolatile CBRAM-Crossbar-Based 3-D-Integrated Hybrid Memory for Data Retention , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  Chun Jason Xue,et al.  Migration-Aware Loop Retiming for STT-RAM-Based Hybrid Cache in Embedded Systems , 2014, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  Meng-Fan Chang,et al.  19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[27]  Xiang Pan,et al.  NVSleep: Using non-volatile memory to enable fast sleep/wakeup of idle cores , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[28]  Meng-Fan Chang,et al.  Ambient energy harvesting nonvolatile processors: From circuit to system , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[29]  Rong Luo,et al.  An energy efficient backup scheme with low inrush current for nonvolatile SRAM in energy harvesting sensor nodes , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[30]  Huazhong Yang,et al.  Design exploration of inrush current aware controller for nonvolatile processor , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

[31]  Narayanan Vijaykrishnan,et al.  Architecture exploration for ambient energy harvesting nonvolatile processors , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[32]  Meng-Fan Chang,et al.  RRAM-based 7T1R nonvolatile SRAM with 2x reduction in store energy and 94x reduction in restore energy for frequent-off instant-on applications , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[33]  Meng-Fan Chang,et al.  17.5 A 3T1R nonvolatile TCAM using MLC ReRAM with Sub-1ns search time , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[34]  Mehdi Baradaran Tahoori,et al.  Evaluation of Hybrid Memory Technologies Using SOT-MRAM for On-Chip Cache Hierarchy , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[35]  Yu Wang,et al.  4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[36]  Chung Lam,et al.  7.3 A resistance-drift compensation scheme to reduce MLC PCM raw BER by over 100× for storage-class memory applications , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).