Efficient RC low-power bus encoding methods for crosstalk reduction

In on-chip buses, the RC crosstalk effect leads to serious problems, such as wire propagation delay and dynamic power dissipation. This paper presents two efficient bus-coding methods. The proposed methods simultaneously reduce more dynamic power dissipation and wire propagation delay than existing bus encoding methods. Our methods also reduce more total power consumption than other encoding methods. Simulation results show that the proposed method I reduces coupling activity by 26.7-38.2% and switching activity by 3.7%-7% on 8-bit to 32-bit data buses, respectively. The proposed method II reduces coupling activity by 27.5-39.1% and switching activity by 5.3-9% on 8-bit to 32-bit data buses, respectively. Both the proposed methods reduce dynamic power by 23.9-35.3% on 8-bit to 32-bit data buses and total propagation delay by up to 30.7-44.6% on 32-bit data buses, and eliminate the Type-4 coupling. Our methods also reduce total power consumption by 23.6-33.9%, 23.9-34.3%, and 24.1-34.6% on 8-bit to 32-bit data buses with the 0.18, 0.13, and 0.09@mm technologies, respectively.

[1]  Luca Benini,et al.  Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems , 1997, Proceedings Great Lakes Symposium on VLSI.

[2]  M.A. Elgamel,et al.  Interconnect noise analysis and optimization in deep submicron technology , 2003, IEEE Circuits and Systems Magazine.

[3]  Yehea I. Ismail,et al.  Skewed Repeater Bus: A Low-Power Scheme for On-Chip Buses , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[4]  Kevin Skadron,et al.  Odd/even bus invert with two-phase transfer for buses with coupling , 2002, ISLPED '02.

[5]  Yehea I. Ismail,et al.  Formal derivation of optimal active shielding for low-power on-chip buses , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Enrico Macii,et al.  Wire placement for crosstalk energy minimization in address buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[7]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Enrico Macii,et al.  Low-energy encoding for deep-submicron address buses , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

[9]  Tyler Thorp,et al.  An automated shielding algorithm and tool for dynamic circuits , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[10]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[11]  Kurt Keutzer,et al.  Bus encoding to prevent crosstalk delay , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[12]  Naresh R. Shanbhag,et al.  A coding framework for low-power address and data busses , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Qinru Qiu,et al.  Partitioned bus coding for energy reduction , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[14]  Rung-Bin Lin,et al.  Inter-Wire Coupling Reduction Analysis of Bus-Invert Coding , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[15]  Jeffrey A. Davis,et al.  Optimal Voltage Scaling, Repeater Insertion, and Wire Sizing for Wave-Pipelined Global Interconnects , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[16]  Yao-Wen Chang,et al.  RLC Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Kiyoung Choi,et al.  Reduction of bus transitions with partial bus-invert coding , 1998 .

[18]  Anantha Chandrakasan,et al.  A bus energy model for deep submicron technology , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[19]  Cristina Silvano,et al.  Power optimization of system-level address buses based on software profiling , 2000, Proceedings of the Eighth International Workshop on Hardware/Software Codesign. CODES 2000 (IEEE Cat. No.00TH8518).

[20]  Cosmin Popa Negative Resistance Active resistor with Improved linearity and Frequency Response , 2009, J. Circuits Syst. Comput..

[21]  Hiroto Yasuura,et al.  A bus delay reduction technique considering crosstalk , 2000, DATE '00.

[22]  Gaetano Palumbo,et al.  Propagation Delay of an RC-Chain With a Ramp Input , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[23]  Kiyoung Choi,et al.  Partial bus-invert coding for power optimization of application-specific systems , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[24]  Tughrul Arslan,et al.  Low power system on chip bus encoding scheme with crosstalk noise reduction capability , 2006 .

[25]  Chih-Peng Fan,et al.  Low-Power Instruction Address Bus Coding with Xor-bits Architecture , 2009, J. Circuits Syst. Comput..

[26]  TingTing Hwang,et al.  A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  O. Gustafsson,et al.  Deep sub-micron bus invert coding , 2004, Proceedings of the 6th Nordic Signal Processing Symposium, 2004. NORSIG 2004..

[28]  Sung-Mo Kang,et al.  Coupling-driven signal encoding scheme for low-power interface design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[29]  Enrico Macii,et al.  Low-energy for deep-submicron address buses , 2001, ISLPED '01.

[30]  A. Orailoglu,et al.  A unified transformational approach for reductions in fault vulnerability, power, and crosstalk noise and delay on processor buses , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[31]  Sung-Mo Kang,et al.  A low energy encoding technique for reduction of coupling effects in SoC interconnects , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

[32]  David Blaauw,et al.  Performance optimization of critical nets through active shielding , 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.

[33]  Taewhan Kim Low Power Bus Encoding with Crosstalk Delay Elimination , 2002 .

[34]  Jason Cong,et al.  An interconnect-centric design flow for nanometer technologies , 1999, 1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453).

[35]  Kiyoung Choi,et al.  Narrow bus encoding for low-power DSP systems , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[36]  Kazumasa Yanagisawa,et al.  Probabilistic crosstalk delay estimation for ASICs , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.