An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads

Reducing energy consumption in multi-processor systems-on-chip (MPSoCs) where communication happens via the network-on-chip (NoC) approach calls for multiple voltage/frequency island (VFI)-based designs. In turn, such multi-VFI architectures need efficient, robust, and accurate run-time control mechanisms that can exploit the workload characteristics in order to save power. Despite being tractable, the linear control models for power management cannot capture some important workload characteristics (e.g., fractality, non-stationarity) observed in heterogeneous NoCs, if ignored, such characteristics lead to inefficient communication and resources allocation, as well as high power dissipation in MPSoCs. To mitigate such limitations, we propose a new paradigm shift from power optimization based on linear models to control approaches based on fractal-state equations. As such, our approach is the first to propose a controller for fractal workloads with precise constraints on state and control variables and specific time bounds. Our results show that significant power savings (about 70%) can be achieved at run-time while running a variety of benchmark applications.

[1]  Radu Marculescu,et al.  Workload characterization and its impact on multicore platform design , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[2]  Giovanni De Micheli,et al.  A control theory approach for thermal balancing of MPSoC , 2009, 2009 Asia and South Pacific Design Automation Conference.

[3]  Tajana Simunic,et al.  Proactive temperature balancing for low cost thermal management in MPSoCs , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[4]  Margaret Martonosi,et al.  Formal online methods for voltage/frequency control in multiple clock domain microprocessors , 2004, ASPLOS XI.

[5]  Thomas F. Wenisch,et al.  SimFlex: Statistical Sampling of Computer System Simulation , 2006, IEEE Micro.

[6]  Mohammad Arjomand,et al.  Voltage-Frequency Planning for Thermal-Aware, Low-Power Design of Regular 3-D NoCs , 2010, 2010 23rd International Conference on VLSI Design.

[7]  Dumitru Baleanu,et al.  Fractional Optimal Control Problems with Several State and Control Variables , 2010 .

[8]  Mahmut T. Kandemir,et al.  CPM in CMPs: Coordinated Power Management in Chip-Multiprocessors , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.

[9]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[10]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[11]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[12]  Christine A. Shoemaker,et al.  Scalable thread scheduling and global power management for heterogeneous many-core architectures , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[13]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[14]  Radu Marculescu,et al.  Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Pradeep Dubey,et al.  Convergence of Recognition, Mining, and Synthesis Workloads and Its Implications , 2008, Proceedings of the IEEE.

[16]  Timothy Mattson,et al.  A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[17]  Qinru Qiu,et al.  Distributed task migration for thermal management in many-core systems , 2010, Design Automation Conference.

[18]  Tajana Simunic,et al.  Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[19]  Radu Marculescu,et al.  Custom Feedback control: Enabling truly scalable on-chip power management for MPSoCs , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[20]  Luca Benini,et al.  Network-on-Chip design and synthesis outlook , 2008, Integr..

[21]  Massoud Pedram,et al.  Supervised Learning Based Power Management for Multicore Processors , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Radu Marculescu,et al.  Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms? , 2011, 2011 Design, Automation & Test in Europe.

[23]  Radu Marculescu,et al.  Statistical physics approaches for network-on-chip traffic characterization , 2009, CODES+ISSS '09.

[24]  Radu Marculescu,et al.  The Chip Is the Network: Toward a Science of Network-on-Chip Design , 2009, Found. Trends Electron. Des. Autom..

[25]  Luca Benini,et al.  A Feedback-Based Approach to DVFS in Data-Flow Applications , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  Radu Marculescu,et al.  Non-Stationary Traffic Analysis and Its Implications on Multicore Platform Design , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Parthasarathy Ranganathan,et al.  From Microprocessors to Nanostores: Rethinking Data-Centric Systems , 2011, Computer.

[28]  Luca Benini,et al.  Energy-Reliability trade-Off for NoCs , 2003, Networks on Chip.

[29]  Pradip Bose,et al.  Multicore power management: Ensuring robustness via early-stage formal verification , 2009, 2009 7th IEEE/ACM International Conference on Formal Methods and Models for Co-Design.

[30]  Margaret Martonosi,et al.  Formal control techniques for power-performance management , 2005, IEEE Micro.

[31]  R. Mukherjee,et al.  Physical Aware Frequency Selection for Dynamic Thermal Management in Multi-Core Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[32]  Pradip Bose,et al.  A case for guarded power gating for multi-core processors , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[33]  Thomas F. Wenisch,et al.  Spatial Memory Streaming , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[34]  I. Podlubny Fractional differential equations : an introduction to fractional derivatives, fractional differential equations, to methods of their solution and some of their applications , 1999 .

[35]  Gu-Yeon Wei,et al.  Thread motion: fine-grained power management for multi-core systems , 2009, ISCA '09.