IC-Package-System Integration Design

Miniature is massive when it comes to electronics. While there exists a continuous effort in industry to integrate more functionalities into the same area, the prohibitive scaling cost at 45nm and beyond makes it difficult to continue the trend. Towards this, More-than-Moore techniques have been proposed, which explore new dimensionality of integration by creating and integrating non-digital functionality to semiconductor products (Zhang and Roosmalen. More than Moore—creating hnanoelectronics systems/nanoelectronics systems. Springer, New York, 2009). They motivate new technological possibilities and unlimited application potential.

[1]  Andrew B. Kahng,et al.  Implications of area-array I/O for row-based placement methodology , 1998, Proceedings. 1998 IEEE Symposium on IC/Package Design Integration (Cat. No.98CB36211).

[2]  Eric James Grimme,et al.  Krylov Projection Methods for Model Reduction , 1997 .

[3]  A. Kamo,et al.  A searching method for optimal locations of decoupling capacitors based on electromagnetic field analysis by FDTD method , 2002, Electrical Performance of Electronic Packaging,.

[4]  Sheldon X.-D. Tan,et al.  A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery , 2004 .

[5]  Hao Yu,et al.  Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity , 2009, TODE.

[6]  Hideki Asai,et al.  An optimization method for placement of decoupling capacitors on printed circuit board , 2000, IEEE 9th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.00TH8524).

[7]  Peter Ramm,et al.  Through-Silicon Via Technologies for Extreme Miniaturized 3D Integrated Wireless Sensor Systems (e-CUBES) , 2008, 2008 International Interconnect Technology Conference.

[8]  Paul D. Franzon,et al.  Creating 3D specific systems: architecture, design and CAD , 2010, DATE 2010.

[9]  Yiyu Shi,et al.  Fault-tolerant 3D clock network , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  M. Chrzanowska-Jeske,et al.  Improved I/O pad assignment for sea-of-gates placement algorithm , 1992, [1992] Proceedings of the 35th Midwest Symposium on Circuits and Systems.

[11]  Vladimir Stojanovic,et al.  Power-centric design of high-speed I/Os , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[12]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.

[13]  Hsien-Hsin S. Lee,et al.  A scanisland based design enabling prebond testability in die-stacked microprocessors , 2007, 2007 IEEE International Test Conference.

[14]  Larry Pileggi,et al.  On-package decoupling optimization with package macromodels , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[15]  Sung-Mo Kang,et al.  iTEM: a temperature-dependent electromigration reliability diagnosis tool , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Ting-Chi Wang,et al.  Simple yet effective algorithms for block and I/O buffer placement in flip-chip design , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[17]  Andrew B. Kahng,et al.  On the skew-bounded minimum-buffer routing tree problem , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  Kaustav Banerjee,et al.  Compact modeling and SPICE-based simulation for electrothermal analysis of multilevel ULSI interconnects , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[19]  Hung-Ming Chen,et al.  An Implementation of Performance-Driven Block and I/O Placement for Chip-Package Codesign , 2008, ISQED 2008.

[20]  Timothy J. Maloney,et al.  Basic ESD and I/O Design , 1998 .

[21]  Vempati Srinivasa Rao,et al.  TSV interposer fabrication for 3D IC packaging , 2009, 2009 11th Electronics Packaging Technology Conference.

[22]  Jun Kong,et al.  An efficient method for terminal reduction of interconnect circuits considering delay variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[23]  Sachin S. Sapatnekar,et al.  High-Efficiency Green Function-Based Thermal Simulation Algorithms , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Junho Lee,et al.  High frequency electrical circuit model of chip-to-chip vertical via interconnection for 3-D chip stacking package , 2005, IEEE 14th Topical Meeting on Electrical Performance of Electronic Packaging, 2005..

[25]  J. P. Libous Characterization of flip-chip CMOS ASIC simultaneous switching noise on multilayer organic and ceramic BGA/CGA packages , 1998, IEEE 7th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.98TH8370).

[26]  Jin Zhao,et al.  A fast evaluation of power delivery system input impedance of printed circuit boards with decoupling capacitors , 2004, Electrical Performance of Electronic Packaging - 2004.

[27]  Jason Cong,et al.  Matching-based methods for high-performance clock routing , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  James E. Jaussi,et al.  A Scalable 5–15 Gbps, 14–75 mW Low-Power I/O Transceiver in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[29]  Heeseok Lee,et al.  Analysis for complex power distribution networks considering densely populated vias , 2005, Sixth international symposium on quality electronic design (isqed'05).

[30]  Yiyu Shi,et al.  Fast analysis of structured power grid by triangularization based structure preserving model order reduction , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[31]  Hao Ji,et al.  How to efficiently capture on-chip inductance effects: introducing a new circuit element K , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[32]  Wei Cui,et al.  Power delivery validation methodology and analysis for network processors , 2004, 2004 Proceedings. 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546).

[33]  Sani R. Nassif,et al.  Optimal decoupling capacitor sizing and placement for standard-cell layout designs , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[34]  Xiaoxia Wu,et al.  Test-access mechanism optimization for core-based three-dimensional SOCs , 2008, 2008 IEEE International Conference on Computer Design.

[35]  Chris H. Q. Ding,et al.  K-means clustering via principal component analysis , 2004, ICML.

[36]  Bart Swinnen,et al.  3D System Integration Technologies , 2007, ICICDT 2007.

[37]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1997, ICCAD 1997.

[38]  R. Engelbrecht,et al.  DIGEST of TECHNICAL PAPERS , 1959 .

[39]  John H. Lau,et al.  TSV manufacturing yield and hidden costs for 3D IC integration , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[40]  Hao Yu,et al.  Off-chip Decoupling Capacitor Allocation for Chip Package Co-Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[41]  Yiyu Shi,et al.  Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[42]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[43]  Huajie Chen,et al.  On-chip decoupling capacitor optimization for high-performance VLSI design , 1995, 1995 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers.

[44]  Farid N. Najm,et al.  I/O buffer placement methodology for ASICs , 2001, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483).

[45]  E. Beyne,et al.  3D Embedding and Interconnection of Ultra Thin (≪ 20 μm) Silicon Dies , 2007, 2007 9th Electronics Packaging Technology Conference.

[46]  R. Shukla,et al.  Optimizing C4 bump placements for a peripheral I/O design , 1999, 1999 Proceedings. 49th Electronic Components and Technology Conference (Cat. No.99CH36299).

[47]  Jun Chen,et al.  Noise driven in-package decoupling capacitor optimization for power integrity , 2006, ISPD '06.

[48]  D.P. O'Connor,et al.  Electrical modeling and characterization of packaging solutions utilizing lead-free second level interconnects , 2003, 53rd Electronic Components and Technology Conference, 2003. Proceedings..

[49]  Yiyu Shi,et al.  A Fast Block Structure Preserving Model Order Reduction for Inverse Inductance Circuits , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[50]  Howard H. Chen,et al.  On-chip decoupling capacitor optimization for noise and leakage reduction , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

[51]  Shiyan Hu,et al.  Fast Algorithms for Slew-Constrained Minimum Cost Buffering , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[52]  L. Pileggi,et al.  Efficient full-chip thermal modeling and analysis , 2004, ICCAD 2004.

[53]  Takayuki Ohba,et al.  Wafer-on-wafer (WOW) stacking with damascene-contact TSV for 3D integration , 2010, Proceedings of 2010 International Symposium on VLSI Technology, System and Application.

[54]  Peng Li,et al.  Model order reduction of linear networks with massive ports via frequency-dependent port packing , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[55]  William J. Dally,et al.  Digital systems engineering , 1998 .

[56]  Kaushik Roy,et al.  Power supply noise aware floorplanning and decoupling capacitance placement , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[57]  Wai-Kei Mak I/O placement for FPGAs with multiple I/O standards , 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[58]  Qing-lun Chen,et al.  The optimal value selection of decoupling capacitors based on FDFD combined with optimization , 2002, Electrical Performance of Electronic Packaging,.

[59]  J. Audet,et al.  Effect of organic package core via pitch reduction on power distribution performance , 2004, 2004 Proceedings. 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546).

[60]  Lawrence T. Pileggi,et al.  Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[61]  Jason Cong,et al.  Thermal-aware cell and through-silicon-via co-placement for 3D ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[62]  Guoqi Zhang,et al.  More than Moore: Creating High Value Micro/Nanoelectronics Systems , 2009 .

[63]  Sachin S. Sapatnekar,et al.  Thermal via placement in 3D ICs , 2005, ISPD '05.

[64]  J. P. Libous,et al.  Measurement, modeling, and simulation of flip-chip CMOS ASIC simultaneous switching noise on a multilayer ceramic BGA , 1997 .

[65]  Jiayuan Fang,et al.  Effects of power/ground via distribution on the power/ground performance of C4/BGA packages , 1998, IEEE 7th Topical Meeting on Electrical Performance of Electronic Packaging (Cat. No.98TH8370).

[66]  A. Ruehli Equivalent Circuit Models for Three-Dimensional Multiconductor Systems , 1974 .

[67]  E. Beyne 3D interconnection and packaging: impending reality or still a dream? , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[68]  Hsien-Hsin S. Lee,et al.  Pre-bond testable low-power clock tree design for 3D stacked ICs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[69]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[70]  J. Darnauer,et al.  Electrical evaluation of flip-chip package alternatives for next generation microprocessors , 1999 .

[71]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[72]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: applications in VLSI domain , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[73]  D. Scott Wills,et al.  On-chip decoupling capacitor optimization using architectural level prediction , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[74]  Qiang Xu,et al.  Test architecture design and optimization for three-dimensional SoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[75]  J. Audet,et al.  Chip/Package Design and Technology Trade-offs in the 65nm Cell Broadband Engine , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.

[76]  Mark Horowitz,et al.  High-speed electrical signaling: overview and limitations , 1998, IEEE Micro.

[77]  Frank Liu,et al.  Sparse and efficient reduced order modeling of linear subcircuits with large number of terminals , 2004, ICCAD 2004.

[78]  Vivek Tiwari,et al.  Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[79]  William J. Dally,et al.  A 14mW 6.25Gb/s Transceiver in 90nm CMOS for Serial Chip-to-Chip Communications , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[80]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[81]  Robert A. Proctor,et al.  I/O cell placement and electrical checking methodology for ASICs with peripheral I/Os , 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

[82]  Masato Edahiro,et al.  An Efficient Zero-Skew Routing Algorithm , 1994, 31st Design Automation Conference.

[83]  Hao Yu,et al.  Simultaneous Power and Thermal Integrity Driven Via Stapling in 3D ICs , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[84]  Charlie Chung-Ping Chen,et al.  Thermal-ADI - a linear-time chip-level dynamic thermal-simulation algorithm based on alternating-direction-implicit (ADI) method , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[85]  Jiayuan Fang,et al.  Optimum placement of decoupling capacitors on packages and printed circuit boards under the guidance of electromagnetic field simulation , 1996, 1996 Proceedings 46th Electronic Components and Technology Conference.

[86]  P. Buffet,et al.  Methodology for I/O cell placement and checking in ASIC designs using area-array power grid , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[87]  Xiaoxia Wu,et al.  Scan chain design for three-dimensional integrated circuits (3D ICs) , 2007, 2007 25th International Conference on Computer Design.

[88]  J. Audet,et al.  Electrical design optimization and characterization in Cell Broadband Engine package , 2006, 56th Electronic Components and Technology Conference 2006.

[89]  Yu Hu,et al.  Minimal skew clock embedding considering time variant temperature gradient , 2007, ISPD '07.

[90]  W.J. Dally,et al.  Low-power area-efficient high-speed I/O circuit techniques , 2000, IEEE Journal of Solid-State Circuits.

[91]  Mehdi M. Mechaik Effects of package stackups on microprocessor performance , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[92]  Siep Weiland,et al.  Missing Point Estimation in Models Described by Proper Orthogonal Decomposition , 2004, IEEE Transactions on Automatic Control.

[93]  E. Klink,et al.  Novel organic chip packaging technology and impacts on high speed interfaces , 2002, Electrical Performance of Electronic Packaging,.

[94]  Hsien-Hsin S. Lee,et al.  Testing Circuit-Partitioned 3D IC Designs , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[95]  Guoqi Zhang,et al.  More than Moore: Creating High Value Micro/Nanoelectronics Systems , 2009 .

[96]  Luca Benini,et al.  A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, ICCAD 2008.