A deep-submicron CMOS flow for general-purpose timing-detection insertion

This paper introduces a design independent extension to RTL-to-GDS design-flows for seamless insertion of timing-detection flip-flops at critical paths of a digital CMOS standard-cell circuit. It is possible to detect timing-errors for general purposes at any critical path including enable-inputs of clock-gating cells with typically 15% area overhead for 20% endpoint coverage while maintaining DFT capability. To ensure matching of critical-path endpoints and detector cells 3 incremental place &route iterations are needed on average.

[1]  Sebastian Hoppner,et al.  An open-loop clock generator for fast frequency scaling in 65nm CMOS technology , 2011, Proceedings of the 18th International Conference Mixed Design of Integrated Circuits and Systems - MIXDES 2011.

[2]  Toru Nakura,et al.  Fine-Grain Redundant Logic Using Defect-Prediction Flip-Flops , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[3]  Sanjay Pant,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, IEEE Journal of Solid-State Circuits.

[4]  David Blaauw,et al.  Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.

[5]  Edward J. McCluskey,et al.  On-line delay testing of digital circuits , 1994, Proceedings of IEEE VLSI Test Symposium.

[6]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[7]  René Schüffny,et al.  A Fast-Locking ADPLL With Instantaneous Restart Capability in 28-nm CMOS Technology , 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

[8]  Cecilia Metra,et al.  On-line detection of logic errors due to crosstalk, delay, and transient faults , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[9]  HöppnerSebastian,et al.  A compact clock generator for heterogeneous GALS MPSoCs in 65-nm CMOS technology , 2013 .

[10]  Toshinori Sato,et al.  Exploiting Input Variations for Energy Reduction , 2007, PATMOS.

[11]  René Schüffny,et al.  A power management architecture for fast per-core DVFS in heterogeneous MPSoCs , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[12]  Toshinori Sato,et al.  Dynamically reducing overestimated design margin of MultiCores , 2012, 2012 International Conference on High Performance Computing & Simulation (HPCS).

[13]  Stephan Henzler,et al.  In-Situ Delay Characterization and Local Supply Voltage Adjustment for Compensation of Local Parametric Variations , 2007, IEEE Journal of Solid-State Circuits.

[14]  D. Schmitt-Landsiedel,et al.  Local Supply Voltage Adjustment for Low Power Parametric Yield Increase , 2006, 2006 Proceedings of the 32nd European Solid-State Circuits Conference.

[15]  Stephan Henker,et al.  A Compact Clock Generator for Heterogeneous GALS MPSoCs in 65-nm CMOS Technology , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Nicola Nicolici,et al.  On Using On-Chip Clock Tuning Elements to Address Delay Degradation Due to Circuit Aging , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Gerhard Fettweis,et al.  10.7 A 105GOPS 36mm2 heterogeneous SDR MPSoC with energy-aware dynamic scheduling and iterative detection-decoding for 4G in 65nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[18]  Trevor Mudge,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, VLSIC 2005.

[19]  Masanori Hashimoto,et al.  Trade-Off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction , 2009 .

[20]  Gerhard Fettweis,et al.  A 335Mb/s 3.9mm2 65nm CMOS flexible MIMO detection-decoding engine achieving 4G wireless data rates , 2012, 2012 IEEE International Solid-State Circuits Conference.

[21]  Dennis Sylvester,et al.  Razor-lite: A side-channel error-detection register for timing-margin recovery in 45nm SOI CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[22]  M. Nicolaidis,et al.  Cost reduction and evaluation of a temporary faults detecting technique , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).