Interconnect synthesis without wire tapering

Interconnect synthesis techniques, such as wire sizing and buffer insertion/sizing, have proven to be critical for reducing interconnect delays in deep submicron design. Consequently, the past few years have seen several works that study buffer insertion, wire sizing, and their simultaneous optimization. For long interconnect, wire tapering, i.e., reducing the wire width as the distance from the driver increases, can yield better solutions than uniform wire sizing. However, despite its obvious benefits, tapering is not widely used in practice since it is difficult to integrate into a coherent routing methodology. This paper studies the benefits of wire sizing with tapering when combined with buffer insertion. We first present a theoretical result that shows wire tapering is at most 3.5% faster than uniform wire sizing when maximal buffer insertion is applied. We then present detailed experiments that support this result. Consequently, we conclude that it is generally not worthwhile to perform tapering for signal nets. Finally, we present a general formulation and optimal polynomial time algorithm for simultaneous wire sizing and buffer insertion that forbids wire tapering, but incorporates layer assignment and wire spacing.

[1]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[2]  Jason Cong,et al.  Performance-Driven Interconnect Design Based on Distributed RC Delay Model , 1993, 30th ACM/IEEE Design Automation Conference.

[3]  Robert K. Brayton,et al.  Planning for performance , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[4]  Jason Cong,et al.  Buffered Steiner tree construction with wire sizing for interconnect layout optimization , 1996, ICCAD 1996.

[5]  Martin D. F. Wong,et al.  A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  G. A. Sai-Halasz,et al.  Performance trends in high-end processors , 1995, Proc. IEEE.

[7]  Sachin S. Sapatnekar,et al.  RC Interconnect Optimization under the Elmore Delay Model , 1994, 31st Design Automation Conference.

[8]  Jason Cong,et al.  Interconnect sizing and spacing with consideration of couplingcapacitance , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Charles J. Alpert,et al.  Buffer insertion for noise and delay optimization , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[10]  Jason Cong,et al.  Simultaneous buffer and wire sizing for performance and power optimization , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[11]  John Philip Fishburn,et al.  Shaping a distributed-rc line to minimize elmore delay , 1995 .

[12]  Charles J. Alpert,et al.  Wire segmenting for improved buffer insertion , 1997, DAC.

[13]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluation using AWE , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Jason Cong,et al.  Performance optimization of VLSI interconnect layout , 1996, Integr..

[15]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[16]  John P. Fishburn,et al.  Shaping a VLSI wire to minimize Elmore delay , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[17]  Jason Cong,et al.  Interconnect estimation and planning for deep submicron designs , 1999, DAC '99.

[18]  Martin D. F. Wong,et al.  An efficient and optimal algorithm for simultaneous buffer and wire sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  Jason Cong,et al.  Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Charlie Chung-Ping Chen,et al.  Optimal wire-sizing formula under the Elmore delay model , 1996, DAC '96.

[21]  Charles J. Alpert,et al.  Buffer insertion with accurate gate and interconnect delay computation , 1999, DAC '99.

[22]  Charlie Chung-Ping Chen,et al.  Optimal wire-sizing function with fringing capacitance consideration , 1997, DAC.

[23]  Chung-Kuan Cheng,et al.  Optimal wire sizing and buffer insertion for low power and a generalized delay model , 1996 .

[24]  Chung-Kuan Cheng,et al.  Simultaneous routing and buffer insertion for high performance interconnect , 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

[25]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  Lawrence T. Pileggi,et al.  An explicit RC-circuit delay approximation based on the first three moments of the impulse response , 1996, 33rd Design Automation Conference Proceedings, 1996.

[27]  Chung-Kuan Cheng,et al.  Timing optimization for multi-source nets: characterization and optimal repeater insertion , 1997, DAC.

[28]  Lawrence T. Pileggi,et al.  EWA: efficient wiring-sizing algorithm for signal nets and clock nets , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Mark A. Franklin,et al.  Optimum buffer circuits for driving long uniform lines , 1991 .

[30]  Ross Baldick,et al.  A sequential quadratic programming approach to concurrent gate and wire sizing , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[31]  Martin D. F. Wong,et al.  Closed form solution to simultaneous buffer insertion/sizing and wire sizing , 1997, ISPD '97.

[32]  J. Cong,et al.  Optimal wiresizing under the distributed Elmore delay model , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).