A 22Gb/s, 10mm on-chip serial link over lossy transmission line with resistive termination

An on-chip serial-link scheme incorporating an interleaved voltage-mode driver, interleaved samplers and an optimally resistively terminated transmission line, enables an energy-efficient very-high-speed long-range data communication. The link is more than twice as fast and more than twice as energy efficient as the fastest reported on-chip link, yet has more than triple the communication range. A 10mm prototype link achieves a data rate of 20Gb/s with an energy consumption of 1.36pJ/b and a measured BER better than 10-11. A 10Gb/s prototype achieves an energy efficiency of 680fJ/b with a measured BER of less than 10-13.

[1]  Michael P. Flynn,et al.  Global signaling over lossy transmission lines , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[2]  David Blaauw,et al.  High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[3]  Justin Schauer,et al.  High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE Journal of Solid-State Circuits.

[4]  K.L. Shepard,et al.  Distributed Loss-Compensation Techniques for Energy-Efficient Low-Latency On-Chip Communication , 2007, IEEE Journal of Solid-State Circuits.

[5]  G. Patounakis,et al.  Pulsed current-mode signaling for nearly speed-of-light intrachip communication , 2006, IEEE Journal of Solid-State Circuits.

[6]  Michael P. Flynn,et al.  A 9Gbit/s serial transceiver for on-chip global signaling over lossy transmission lines , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[7]  Vladimir Stojanovic,et al.  A 4Gb/s/ch 356fJ/b 10mm equalized on-chip interconnect with nonlinear charge-injecting transmit filter and transimpedance receiver in 90nm CMOS , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[8]  Eisse Mensink,et al.  A 0.28pJ/b 2Gb/s/ch Transceiver in 90nm CMOS for 10mm On-Chip interconnects , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[9]  Michael P. Flynn,et al.  A 12b 50MS/s 3.5mW SAR assisted 2-stage pipeline ADC , 2010, 2010 Symposium on VLSI Circuits.

[10]  Michael P. Flynn,et al.  A 9-Gbit/s Serial Transceiver for On-Chip Global Signaling Over Lossy Transmission Lines , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.