Speedy Cloud: Cloud Computing with Support for Hardware Acceleration Services

While cloud computing has provided major benefits by maximizing the use of resources within a cloud, the current solutions still face many challenges. In this paper, we propose performance enhancements for cloud computations, provided by integrating hardware acceleration into the computation services. We extend the Hadoop framework by adding provisions for hardware acceleration using Field Programmable Gate Arrays (FPGAs). Hardware acceleration using energy efficient FPGAs is used as a service within the clouds or to offload computations when needed. It can provide additional sources of revenues, reduced operating costs, and increased resource utilization. We developed a custom Hadoop system and tested four applications commonly used for machine learning and deep learning. The results show the benefits of hardware acceleration and the high performance gains in smaller execution times and energy consumption.

[1]  Ian Gorton,et al.  The Changing Paradigm of Data-Intensive Computing , 2009, Computer.

[2]  Huazhong Yang,et al.  FPMR: MapReduce Framework on FPGA A Case Study of RankBoost Acceleration , 2010 .

[3]  Tom White,et al.  Hadoop - The Definitive Guide: Storage and Analysis at Internet Scale (4. ed., revised & updated) , 2012 .

[4]  Geoffrey E. Hinton,et al.  ImageNet classification with deep convolutional neural networks , 2012, Commun. ACM.

[5]  Christoforos E. Kozyrakis,et al.  Phoenix rebirth: Scalable MapReduce on a large-scale shared-memory system , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

[6]  Kleanthis Psarris,et al.  Super fast hardware string matching , 2006, 2006 IEEE International Conference on Field Programmable Technology.

[7]  Jeffrey A. Delmerico,et al.  XtremeData dbX: An FPGA-Based Data Warehouse Appliance , 2010, Computing in Science & Engineering.

[8]  Yong Wang,et al.  SDF: software-defined flash for web-scale internet storage systems , 2014, ASPLOS.

[9]  Kedi Huang,et al.  Scalable MapReduce Framework on FPGA Accelerated Commodity Hardware , 2012, NEW2AN.

[10]  Paul Chow,et al.  FPGAs in the Cloud: Booting Virtualized Hardware Accelerators with OpenStack , 2014, FCCM 2014.

[11]  Naga K. Govindaraju,et al.  Mars: A MapReduce Framework on graphics processors , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[12]  Mariette Awad,et al.  Hadoop Extensions for Distributed Computing on Reconfigurable Active SSD Clusters , 2014, TACO.

[13]  Sanjay Ghemawat,et al.  MapReduce: simplified data processing on large clusters , 2008, CACM.

[14]  Karin Strauss,et al.  Accelerating Deep Convolutional Neural Networks Using Specialized Hardware , 2015 .

[15]  Kizheppatt Vipin,et al.  Virtualized FPGA Accelerators for Efficient Cloud Computing , 2015, 2015 IEEE 7th International Conference on Cloud Computing Technology and Science (CloudCom).

[16]  Bohn Stafleu van Loghum,et al.  Online … , 2002, LOG IN.

[17]  Muthu Dayalan,et al.  MapReduce : Simplified Data Processing on Large Cluster , 2018 .

[18]  Haitham Akkary,et al.  On the efficiency of automatically generated accelerators for reconfigurable active SSDs , 2014, 2014 26th International Conference on Microelectronics (ICM).

[19]  Roger D. Chamberlain Embedding Applications within a Storage Appliance , 2005 .

[20]  Tom White,et al.  Hadoop: The Definitive Guide , 2009 .

[21]  Sanjay Ghemawat,et al.  MapReduce: Simplified Data Processing on Large Clusters , 2004, OSDI.

[22]  Ken Kennedy,et al.  Optimizing Compilers for Modern Architectures: A Dependence-based Approach , 2001 .

[23]  Mariette Awad,et al.  RASSD: A dynamically reconfigurable active storage device for energy efficient data analytics , 2013, 2013 4th Annual International Conference on Energy Aware Computing Systems and Applications (ICEAC).

[24]  Kermin Fleming,et al.  Hardware Acceleration of Matrix Multiplication on a Xilinx FPGA , 2007, 2007 5th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE 2007).

[25]  Yu Zhang,et al.  Enabling FPGAs in the cloud , 2014, Conf. Computing Frontiers.

[26]  Yong Wang,et al.  SDA: Software-defined accelerator for large-scale DNN systems , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).

[27]  Greg Brown,et al.  A performance and energy comparison of FPGAs, GPUs, and multicores for sliding-window applications , 2012, FPGA '12.