Runtime Stress Estimation for Three-dimensional IC Reliability Management Using Artificial Neural Network
暂无分享,去创建一个
Darong Huang | He Tang | Hai Wang | Yuan Yuan | Tao Xiao | Chi Zhang | Lang Zhang | Hai Wang | Yuan Yuan | Darong Huang | H. Tang | Chi Zhang | Tao Xiao | Lang Zhang
[1] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[2] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[3] J. Lau,et al. Thermal management of 3D IC integration with TSV (through silicon via) , 2009, 2009 59th Electronic Components and Technology Conference.
[4] John L. Henning. SPEC CPU2000: Measuring CPU Performance in the New Millennium , 2000, Computer.
[5] David Z. Pan,et al. A fast simulation framework for full-chip thermo-mechanical stress and reliability analysis of through-silicon-via based 3D ICs , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).
[6] Ming Zhang,et al. GDP: A Greedy Based Dynamic Power Budgeting Method for Multi/Many-Core Systems in Dark Silicon , 2019, IEEE Transactions on Computers.
[7] Tengfei Jiang,et al. Measurement and analysis of thermal stresses in 3D integrated structures containing through-silicon-vias , 2013, Microelectron. Reliab..
[8] Yoshua Bengio,et al. Maxout Networks , 2013, ICML.
[9] Lawrence D. Jackel,et al. Backpropagation Applied to Handwritten Zip Code Recognition , 1989, Neural Computation.
[10] Qiaosha Zou,et al. Thermomechanical Stress-Aware Management for 3-D IC Designs , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Xuan Zeng,et al. Thermal Stress and Reliability Analysis of TSV-Based 3-D ICs With a Novel Adaptive Strategy Finite Element Method , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] K.-J. Wolter,et al. µ-Raman spectroscopy and FE-modeling for TSV-Stress-characterization , 2015 .
[13] Hannu Tenhunen,et al. Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[14] Sachin S. Sapatnekar,et al. A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Heba Khdr,et al. Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon , 2017, IEEE Transactions on Computers.
[16] Jie Meng,et al. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints , 2012, DAC Design Automation Conference 2012.
[17] Sheldon X.-D. Tan,et al. Fast stress analysis for runtime reliability enhancement of 3D IC using artificial neural network , 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).
[18] Guigang Zhang,et al. Deep Learning , 2016, Int. J. Semantic Comput..
[19] S.-H. Hwang,et al. Measurement of stresses in Cu and Si around through-silicon via by synchrotron X-ray microdiffraction for 3-dimensional integrated circuits , 2012, Microelectron. Reliab..
[20] Sheldon X.-D. Tan,et al. A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method , 2018, IEEE Transactions on Computers.
[21] Xi Liu,et al. Failure analysis of through-silicon vias in free-standing wafer under thermal-shock test , 2013, Microelectron. Reliab..
[22] Suk-kyu Ryu,et al. Thermo-mechanical reliability of 3-D ICs containing through silicon vias , 2009, 2009 59th Electronic Components and Technology Conference.
[23] Seda Ogrenci Memik,et al. Thermal monitoring mechanisms for chip multiprocessors , 2008, TACO.
[24] Yu Wang,et al. Efficient region-aware P/G TSV planning for 3D ICs , 2014, Fifteenth International Symposium on Quality Electronic Design.
[25] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[26] Zheng Zhang,et al. MXNet: A Flexible and Efficient Machine Learning Library for Heterogeneous Distributed Systems , 2015, ArXiv.
[27] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[28] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[29] Jae-Seok Yang,et al. TSV stress aware timing analysis with applications to 3D-IC layout optimization , 2010, Design Automation Conference.
[30] Pradip Bose,et al. The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[31] Jae-Seok Yang,et al. Impact of Mechanical Stress on the Full Chip Timing for Through-Silicon-Via-based 3-D ICs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[32] Kaustav Banerjee,et al. 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.
[33] Jian Ma,et al. Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors , 2016, ACM Trans. Design Autom. Electr. Syst..
[34] Li Yu,et al. Methodology for analysis of TSV stress induced transistor variation and circuit performance , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[35] W. Dow,et al. Highly Selective Cu Electrodeposition for Filling Through Silicon Holes , 2011 .
[36] Sung Kyu Lim,et al. Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs , 2012, DAC Design Automation Conference 2012.
[37] Jae-Seok Yang,et al. Design for manufacturability and reliability for TSV-based 3D ICs , 2012, 17th Asia and South Pacific Design Automation Conference.
[38] Robert S. Patti,et al. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.
[39] Siegfried Selberherr,et al. Stress evolution in the metal layers of TSVs with Bosch scallops , 2013, Microelectronics and reliability.
[40] Tao Chen,et al. Numerical Simulation of Casting Thermal Stress and Deformation Based on Finite Difference Method , 2013 .
[41] Sarita V. Adve,et al. Lifetime reliability aware microprocessors , 2006 .