Dual-Level DVFS-Enabled Millimeter-Wave Wireless NoC Architectures
暂无分享,去创建一个
[1] Radu Marculescu,et al. "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Partha Pratim Pande,et al. Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects , 2013, IEEE Transactions on Computers.
[3] Radu Marculescu,et al. Application-specific network-on-chip architecture customization via long-range link insertion , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[4] Amit Kumar,et al. NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[5] Niraj K. Jha,et al. Token flow control , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[6] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[7] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[8] T. Petermann,et al. Spatial small-world networks: A wiring-cost perspective , 2005, cond-mat/0501420.
[9] Saurabh Dighe,et al. Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[10] Jason Cong,et al. A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.
[11] Partha Pratim Pande,et al. Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.
[12] Duncan J. Watts,et al. Collective dynamics of ‘small-world’ networks , 1998, Nature.
[13] Radu Marculescu,et al. Variation-adaptive feedback control for networks-on-chip with multiple clock domains , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[14] Fabien Clermidy,et al. An asynchronous power aware and adaptive NoC based circuit , 2008, 2008 IEEE Symposium on VLSI Circuits.
[15] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[16] Yi Wang,et al. SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.
[17] Ramesh Harjani,et al. Fully integrated on-chip DC-DC converter with a 450x output range , 2010, IEEE Custom Integrated Circuits Conference 2010.
[18] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for wireless network-on-chip architectures , 2012, JETC.
[19] Natalie D. Enright Jerger,et al. Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[21] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[22] Hsin-Chou Chi,et al. A deadlock-free routing scheme for interconnection networks with irregular topologies , 1997, Proceedings 1997 International Conference on Parallel and Distributed Systems.
[23] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[24] Kevin Skadron,et al. A Case for Thermal-Aware Floorplanning at the Microarchitectural Level , 2005, J. Instr. Level Parallelism.
[25] David Z. Pan,et al. A voltage-frequency island aware energy optimization framework for networks-on-chip , 2008, ICCAD 2008.
[26] Partha Pratim Pande,et al. CMOS compatible many-core noc architectures with multi-channel millimeter-wave wireless links , 2012, GLSVLSI '12.
[27] Christian Bienia,et al. Benchmarking modern multiprocessors , 2011 .
[28] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[29] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[30] N.K. Jha,et al. Toward Ideal On-Chip Communication Using Express Virtual Channels , 2008, IEEE Micro.
[31] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[32] Partha Pratim Pande,et al. Complex network inspired fault-tolerant NoC architectures with wireless links , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[33] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[34] Radu Marculescu,et al. Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip , 2012, JETC.
[35] David W. Matolak,et al. iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Architecture , 2011, 2011 IEEE 19th Annual Symposium on High Performance Interconnects.
[36] Steven M. Nowick,et al. ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.
[37] Christof Teuscher,et al. Design of an efficient NoC architecture using millimeter-wave wireless links , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[38] Niraj K. Jha,et al. Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[39] Christof Teuscher,et al. Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.
[40] D. Marculescu,et al. Speed and voltage selection for GALS systems based on voltage/frequency islands , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[41] Chih-Ming Hung,et al. Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters , 2002, IEEE J. Solid State Circuits.